একটি ইন্টেল 8086 সিপিইউ অনুকরণ করুন


157

দ্রষ্টব্য: বেশ কয়েকটি উত্তর এসেছে। আরও নতুন উত্তর উত্তোলন বিবেচনা করুন।


8086 ইন্টেলের প্রথম এক্স 86 মাইক্রোপ্রসেসর হয়। আপনার কাজ এটির জন্য একটি এমুলেটর লিখতে হয়। যেহেতু এটি তুলনামূলকভাবে উন্নত, তাই আমি এটি একটি লিট সীমাবদ্ধ করতে চাই:

  • কেবলমাত্র নিম্নলিখিত অপকডগুলি প্রয়োগ করা দরকার:
    • মুভ, পুশ, পপ, এক্সচজি
    • যোগ করুন, অ্যাডিসি, সাব, এসবিবি, সিএমপি এবং, বা, xor
    • ইনক, ডিসেম্বর
    • কল, ret, jmp
    • জেবি, জেজেড, জেবি, জেএস, জেএনবি, জেএনজেড, জেএনবি, জেএনএস
    • stc, clc
    • এইচটিএল, না,
  • এর ফলস্বরূপ, আপনাকে কেবল ক্যারি, শূন্য এবং সাইন ফ্ল্যাগ গণনা করতে হবে
  • বিভাগগুলি বাস্তবায়ন করবেন না। ধরে cs = ds = ss = 0
  • উপসর্গ নেই
  • কোনও ধরণের বাধা বা পোর্ট আইও নেই
  • কোনও স্ট্রিং ফাংশন নেই
  • কোনও দ্বি-বাইট অপকড নেই (0 এফ ..)
  • কোন ভাসমান পয়েন্ট গণিত নেই
  • (স্পষ্টত) কোন 32-বিট জিনিস, sse, mmx, ... যা 1979 সালে আবিষ্কার হয়নি এখনও
  • আপনাকে চক্র গণনা করতে বা কোনও সময় নির্ধারণ করতে হবে না

ip = 0এবং দিয়ে শুরু করুন sp = 100h


ইনপুট: আপনার এমুলেটরটি আপনাকে যে কোনও ধরণের বিন্যাসে ইনপুট হিসাবে পছন্দ করে (ফাইল থেকে পূর্বনির্ধারিত অ্যারে, ...) পড়া উচিত এবং এটি ঠিকানার 0 এ মেমোরিতে লোড করা উচিত।

আউটপুট: ভিডিও র‌্যাম 8000 ঘন্টা ঠিকানায় শুরু হয়, প্রতিটি বাইট এক (ASCII-) অক্ষর। কনসোল করতে একটি 80x25 স্ক্রিন অনুকরণ করুন। শূন্যস্থান যেমন শূন্য বাইট চিকিত্সা।

উদাহরণ:

08000   2E 2E 2E 2E 2E 2E 2E 2E 2E 00 00 00 00 00 00 00   ................
08010   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08020   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08030   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08040   00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00   ................
08050   48 65 6C 6C 6F 2C 20 77 6F 72 6C 64 21 00 00 00   Hello,.world!...

দ্রষ্টব্য: এটি আসল ভিডিও মোডের সাথে খুব সাদৃশ্য, যা সাধারণত 0xB8000 এ থাকে এবং রঙগুলির জন্য অক্ষর প্রতি আরও একটি বাইট থাকে।

জয়ের মানদণ্ড:

  • উল্লিখিত সমস্ত নির্দেশাবলীর বাস্তবায়ন করা দরকার
  • আমি একটি অনিবন্ধিত পরীক্ষা প্রোগ্রাম তৈরি করেছি ( লিঙ্ক , নাসম উত্স ) যা সঠিকভাবে চালানো উচিত। এটি আউটপুট

    .........                                                                       
    Hello, world!                                                                   
    0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~ 
    
    
    ################################################################################
    ##                                                                            ##
    ##  0 1 1 2 3 5 8 13 21 34 55 89 144 233 377 610 987                          ##
    ##                                                                            ##
    ##  0 1 4 9 16 25 36 49 64 81 100 121 144 169 196 225 256 289 324 361 400     ##
    ##                                                                            ##
    ##  2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97    ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ##                                                                            ##
    ################################################################################
    
  • আমি কোডগলফ হওয়া উচিত কিনা তা আমি নিশ্চিত নই; এটি এক ধরণের কঠিন কাজ, সুতরাং যে কোনও জমা দেওয়া যেকোনো উপায়ে অনেকগুলি জয়লাভ করবে। অনুগ্রহ করে মন্তব্য করুন.

এই কার্যে আপনাকে সহায়তা করার জন্য এখানে কয়েকটি লিঙ্ক রয়েছে:

এটি এই প্ল্যাটফর্মে আমার প্রথম প্রবেশ যদি কোনও ভুল থাকে তবে দয়া করে সেগুলি উল্লেখ করুন; আমি যদি কোনও বিবরণ মিস করি তবে কেবল জিজ্ঞাসা করুন।


5
আমার জন্য অনেক উন্নত, তবে আমি এই প্রশ্নের উত্তর দেখতে খুব আগ্রহী কারণ এটি ঠিক যে ধরণের জিনিসগুলির মধ্যে আমি সর্বাধিক আগ্রহী! আমি যদি পরে বিশেষত মস্কোবাদী বোধ করি তবে আমি এটির জন্য একটি ক্র্যাক নিতে পারি ...
ক্রিস ব্রাউন

3
@ ক্রিসব্রাউন সৌভাগ্যবাদী হওয়ার কারণে শুভকামনা! আমি বর্তমানে আমার 8086 কে 80386 এ পরিণত করছি এবং এখন পর্যন্ত এই প্রকল্প থেকে অনেক কিছু শিখেছি ।
অনুলিপি করুন

2
+1 + প্রিয় ... আমি যখন এই প্রশ্নটি দেখেছি তখন আমার যে অনুভূতি হয়েছিল তা প্রকাশ করা শুরু করতে পারি না।
ixtmixilix

2
@ কপি প্রতিটি ভাষা / হোস্ট জুটির জন্য গল্ফ প্রতিযোগিতা তৈরি করতে কখনই দেরি হয় না
ইয়াহেন ইয়াকিমোভিচ

2
@ মার্টিনব্যাটনার অবশ্যই, প্রশ্নটি সেই ট্যাগের চেয়ে পুরনো এবং মূলত যেভাবেই জনপ্রিয়তার প্রতিযোগিতা হয়ে
অনুলিপি করুন

উত্তর:


84

এটি কাঁটাচামচ এবং গল্ফ নির্দ্বিধায়: https://github.com/julienaubert/py8086

ফলাফল আমি পাশাপাশি একটি ইন্টারেক্টিভ ডিবাগারও অন্তর্ভুক্ত করেছি।

CF:0 ZF:0 SF:0 IP:0x0000
AX:0x0000  CX:0x0000  DX:0x0000  BX:0x0000  SP:0x0100  BP:0x0000  SI:0x0000  DI:0x0000
AL:  0x00  CL:  0x00  DL:  0x00  BL:  0x00  AH:  0x00  CH:  0x00  DH:  0x00  BH:  0x00
stack: 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 ...
cmp SP, 0x100
[Enter]:step [R]:run [B 0xadr]:add break [M 0xadr]:see RAM [Q]:quit

B 0x10
M 0x1
M 0x1: 0xfc 0x00 0x01 0x74 0x01 0xf4 0xbc 0x00 0x10 0xb0 0x2e 0xbb ...
R

CF:0 ZF:0 SF:1 IP:0x0010
AX:0x002e  CX:0x0000  DX:0x0000  BX:0xffff  SP:0x1000  BP:0x0000  SI:0x0000  DI:0x0000
AL:  0x2e  CL:  0x00  DL:  0x00  BL:  0xff  AH:  0x00  CH:  0x00  DH:  0x00  BH:  0x00
stack: 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 ...
cmp BX, 0xffff
[Enter]:step [R]:run [B 0xadr]:add break [M 0xadr]:see RAM [Q]:quit

এখানে তিনটি ফাইল রয়েছে: emu8086.py (প্রয়োজনীয়) কনসোল.পি (ডিসপ্লে আউটপুট জন্য )চ্ছিক), disasm.py (alচ্ছিক, কোডগল্ফে asm এর তালিকা পেতে)।

প্রদর্শনটি চালানোর জন্য (নোটটি অভিশাপ ব্যবহার করে):

python emu8086.py 

ইন্টারেক্টিভ ডিবাগার দিয়ে চালাতে:

python emu8086.py a b

অ-ইন্টারেক্টিভ "ডিবাগার" দিয়ে চালানো:

python emu8086.py a

প্রোগ্রাম " কোডগল্ফ " একই ডিরেক্টরিতে থাকা উচিত।

emu8086.py

console.py

disasm.py

গিথুবে


9
এটি প্রথম কোড গল্ফ পোস্টের এক নরক। +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 ...
ডিলন কাওয়ার

@ডিসি ধন্যবাদ :) একটি মজাদার চ্যালেঞ্জ ছিল!
জা

1
এখনও কেউ বিশ্বাস করতে পারে না যে এটি আসলে করেছে :-) দুর্দান্ত কাজ!
অনুলিপি

1
অ্যামেজিং! অভিনন্দন! শেষ পর্যন্ত এটি কত লাইন ছিল?
এলপি করবে

59

হাস্কেল, 256 234 196 লাইন

আমি কিছু সময়ের জন্য এই কার্য-অগ্রগতিতে এক করেছি, আমি প্রকাশের আগে এটি আরও কিছুটা পলিশ করার ইচ্ছা করেছি, তবে এখন আনন্দের আনুষ্ঠানিকভাবে শুরু হয়েছে, এটি আর গোপন রাখার তেমন কোনও লাভ নেই। আমি এটি বের করার সময় লক্ষ্য করেছি যে এটি হুবহু ২৫ 25 লাইন দীর্ঘ, সুতরাং আমি মনে করি এটি এর অস্তিত্বের একটি "উল্লেখযোগ্য" পয়েন্টে রয়েছে।

কী এতে রয়েছে: 8086 নির্দেশাবলীর পক্ষে যথেষ্ট পরিমাণে উদাহরণ বাইনারি নির্বিঘ্নে চালাতে পারে set স্ব-সংশোধনকারী কোড সমর্থিত। (উপসাগর: শূন্য বাইট)
বিদ্রূপজনকভাবে, কোডটির প্রথম পর্যাপ্ত পুনরাবৃত্তিগুলি দীর্ঘতর ছিল এবং অপকোড স্প্যানটির কম সমর্থন করে। রিফ্যাক্টরিং কোড দৈর্ঘ্য এবং অপকোড কভারেজ উভয়ই উপকারী।

কী আউট: স্পষ্টতই বিভাগ, উপসর্গ এবং মাল্টিবাইট অপকডস, বাধা, I / O পোর্টস, স্ট্রিং অপারেশন এবং এফপি। আমি প্রথমে মূল PUSH SPআচরণটি অনুসরণ করেছি , তবে কয়েকটি পুনরাবৃত্তির পরে এটিকে ফেলে দিতে হয়েছিল।

বহনকারী পতাকা ফলাফল সম্ভবত ADC/ এর কয়েকটি ক্ষেত্রে খুব গণ্ডগোল হয়েছে SBB

যাইহোক, কোড এখানে:

------------------------------------------------------------
-- Imports

-- They're the only lines I allow to go over 80 characters.
-- For the simple reason the code would work just as well without the
-- actual symbol list, but I like to keep it up to date to better
-- grasp my dependency graph.

import           Control.Monad.Reader      (ReaderT,runReaderT,ask,lift,forever,forM,when,void)
import           Control.Monad.ST          (ST,runST)
import           Control.Monad.Trans.Maybe (MaybeT,runMaybeT)
import           Data.Array.ST             (STUArray,readArray,writeArray,newArray,newListArray)
import           Data.Bits                 (FiniteBits,(.&.),(.|.),xor,shiftL,shiftR,testBit,finiteBitSize)
import           Data.Bool                 (bool)
import qualified Data.ByteString as B      (unpack,getContents)
import           Data.Char                 (chr,isPrint) -- for screen dump
import           Data.Int                  (Int8)
import           Data.STRef                (STRef,newSTRef,readSTRef,writeSTRef,modifySTRef)
import           Data.Word                 (Word8,Word16)

------------------------------------------------------------
-- Bytes and Words
-- Bytes are 8 bits.  Words are 16 bits.  Addressing is little-endian.

-- Phantom types.  Essentially (only?) used for the ALU
byte = undefined :: Word8
word = undefined :: Word16

-- Byte to word conversion
byteToWordSE = (fromIntegral :: Int8 -> Word16) .
               (fromIntegral :: Word8 -> Int8)

-- Two-bytes to word conversion
concatBytes :: Word8 -> Word8 -> Word16
concatBytes l h = fromIntegral l .|. (fromIntegral h `shiftL` 8)

-- Word to two bytes conversion
wordToByteL,wordToByteH :: Word16 -> Word8
wordToByteL = fromIntegral
wordToByteH = fromIntegral . (`shiftR` 8)

-- A Place is an lvalue byte or word.  In absence of I/O ports, this
-- means RAM or register file.  This type synonym is not strictly
-- needed, but without it it's unclear I could keep the alu function
-- type signature under twice 80 characters, so why not keep this.
type Place s = (STUArray s Word16 Word8,Word16)

-- Read and write, byte or word, from RAM or register file

class (Ord a,FiniteBits a,Num a) => Width a where
  readW  :: Place s ->      MonadCPU s a
  writeW :: Place s -> a -> MonadCPU s ()

instance Width Word8 where
  readW  =  liftST    . uncurry readArray
  writeW = (liftST .) . uncurry writeArray

instance Width Word16 where
  readW (p,a) = concatBytes <$> readW (p,a) <*> readW (p,a+1)
  writeW (p,a) val = do
    writeW (p,a)   $ wordToByteL val
    writeW (p,a+1) $ wordToByteH val

------------------------------------------------------------
-- CPU object

-- The actual CPU state.  Yeah, I obviously don't have all flags in! :-D
data CPU s = CPU { ram  :: STUArray s Word16 Word8
                 , regs :: STUArray s Word16 Word8
                 , cf :: STRef s Bool
                 , zf :: STRef s Bool
                 , sf :: STRef s Bool }

newCPU rawRam = do ramRef <- newListArray (0,0xFFFF) rawRam
                   regFile <- newArray (0,17) 0
                   cf <- newSTRef False
                   zf <- newSTRef False
                   sf <- newSTRef False
                   return $ CPU ramRef regFile cf zf sf

-- Register addresses within the register file.  Note odd placement
-- for BX and related.  Also note the 16-bit registers have a wider
-- pitch.  IP was shoehorned in recently, it doesn't really need an
-- address here, but it made other code shorter, so that's that.

-- In the 8-bit subfile, only regAl is used in the code (and it's 0,
-- so consider that a line I could totally have skipped)
[regAl,regAh,regCl,regCh,regDl,regDh,regBl,regBh] = [0..7]

-- In the 16-bit file, they're almost if not all referenced.  8086
-- sure is clunky.
[regAx,regCx,regDx,regBx,regSp,regBp,regSi,regDi,regIp] = [0,2..16]

-- These functions look like I got part of the Lens intuition
-- independently, come to look at it after the fact.  Cool :-)
readCpu  ext   = liftST .      readSTRef    . ext =<< ask
writeCpu ext f = liftST . flip writeSTRef f . ext =<< ask

-- It looks like the only operations IP can receive are relative moves
-- (incrIP function below) and a single absolute set: RET.  I deduce
-- only short jumps, not even near, were in the spec.
incrIP i = do old <- readReg regIp
              writeReg regIp (old + i)
              return old

-- Read next instruction.  Directly from RAM, so no pipeline prefetch.
readInstr8 = incrIP 1 >>= readRam
readInstr16 = concatBytes <$> readInstr8 <*> readInstr8

-- RAM/register file R/W specializers
readReg  reg      = ask >>= \p -> readW  (regs p,reg)
readRam  addr     = ask >>= \p -> readW  (ram p ,addr)
writeReg reg val  = ask >>= \p -> writeW (regs p,reg)  val
writeRam addr val = ask >>= \p -> writeW (ram p ,addr) val

-- I'm not quite sure what those do anymore, or why they're separate.
decodeReg8  n = fromIntegral $ (n `shiftL` 1) .|. (n `shiftR` 2)
decodeReg16 n = fromIntegral $  n `shiftL` 1
readDecodedReg8 = readReg . decodeReg8
readDecodedReg16 = readReg . decodeReg16

-- The monad type synonym make type signatures easier :-(
type MonadCPU s = MaybeT (ReaderT (CPU s) (ST s))

-- Specialized liftST, because the one from Hackage loses the
-- parameter, and I need it to be able to qualify Place.
liftST :: ST s a -> MonadCPU s a
liftST = lift . lift

------------------------------------------------------------
-- Instructions

-- This is arguably the core secret of the 8086 architecture.
-- See statement links for actual explanations.
readModRM = do
  modRM <- readInstr8
  let mod   =  modRM           `shiftR` 6
      opReg = (modRM .&. 0x38) `shiftR` 3
      rm    =  modRM .&. 0x07
  cpu <- ask
  operand <- case mod of
               0 -> do
                 addr <- case rm of
                           1 -> (+) <$> readReg regBx <*> readReg regDi
                           2 -> (+) <$> readReg regBp <*> readReg regSi
                           6 -> readInstr16
                           7 -> readReg regBx
                 return (ram cpu,addr)
               2 -> do
                 addr <- case rm of
                           5 -> (+) <$> readReg regDi <*> readInstr16
                           7 -> (+) <$> readReg regBx <*> readInstr16
                 return (ram cpu,addr)
               3 -> return (regs cpu,2*fromIntegral rm)
  return (operand,opReg,opReg)

-- Stack operations.  PUSH by value (does NOT reproduce PUSH SP behavior)
push16 val = do
  sp <- subtract 2 <$> readReg regSp
  writeReg regSp sp
  writeRam sp (val :: Word16)
pop16 = do
  sp <- readReg regSp
  val <- readRam sp
  writeReg regSp (sp+2)
  return (val :: Word16)

-- So, yeah, JMP seems to be relative (short) only.  Well, if that's enough…
jump cond = when cond . void . incrIP . byteToWordSE =<< readInstr8

-- The ALU.  The most complicated type signature in this file.  An
-- initial argument as a phantom type I tried to get rid of and
-- failed.
alu :: Width w => w -> MonadCPU s w -> MonadCPU s w -> Place s
    -> (w -> w -> MonadCPU s (Bool,Maybe Bool,w)) -> MonadCPU s ()
alu _ a b r op = do
  (rw,c,v) <- a >>= (b >>=) . op
  when rw $ writeW r v
  maybe (return ()) (writeCpu cf) c
  writeCpu zf (v == 0)
  writeCpu sf (testBit v (finiteBitSize v - 1))
decodeALU 0 = \a b -> return (True, Just (a >= negate b),       a   +   b)
decodeALU 1 = \a b -> return (True, Just False,                 a  .|.  b)
decodeALU 2 = \a b -> bool 0 1 <$> readCpu cf >>= \c ->
                      return (True, Just (a >= negate (b + c)), a + b + c)
decodeALU 3 = \a b -> bool 0 1 <$> readCpu cf >>= \c ->
                      return (True, Just (a < b + c),           a - b - c)
decodeALU 4 = \a b -> return (True, Just False,                 a  .&.  b)
decodeALU 5 = \a b -> return (True, Just (a <= b),              a   -   b)
decodeALU 6 = \a b -> return (True, Just False,                 a `xor` b)
decodeALU 7 = \a b -> return (False,Just (a <= b),              a   -   b)
opIncDec :: Width w => w -> w -> MonadCPU s (Bool,Maybe Bool,w)
opIncDec    = \a b -> return (True, Nothing,                    a   +   b)

-- Main iteration: process one instuction
-- That's the rest of the meat, but that part's expected.
processInstr = do
  opcode <- readInstr8
  regs <- regs <$> ask
  let zReg = (regs,decodeReg16 (opcode .&. 0x07))
  if opcode < 0x40 then -- no segment or BCD
    let aluOp = (opcode .&. 0x38) `shiftR` 3 in case opcode .&. 0x07 of
    0 -> do
      (operand,reg,_) <- readModRM
      alu byte (readW operand) (readDecodedReg8 reg) operand (decodeALU aluOp)
    1 -> do
      (operand,reg,_) <- readModRM
      alu word (readW operand) (readDecodedReg16 reg) operand (decodeALU aluOp)
    4 -> alu byte (readReg regAl) readInstr8 (regs,regAl) (decodeALU aluOp)
  else case opcode .&. 0xF8 of -- 16-bit (mostly) reg ops
    0x40 -> alu word (readW zReg) (return   1 ) zReg opIncDec -- 16b INC
    0x48 -> alu word (readW zReg) (return (-1)) zReg opIncDec -- 16b DEC
    0x50 -> readW zReg >>= push16                       -- 16b PUSH reg
    0x58 -> pop16 >>= writeW zReg                       -- 16b POP reg
    0x90 -> do v1 <- readW zReg                         -- 16b XCHG (or NOP)
               v2 <- readReg regAx
               writeW zReg (v2 :: Word16)
               writeReg regAx (v1 :: Word16)
    0xB0 -> readInstr8  >>= writeW zReg -- (BUG!)       -- 8b MOV reg,imm
    0xB8 -> readInstr16 >>= writeW zReg                 -- 16b MOV reg,imm
    _ -> case bool opcode 0x82 (opcode == 0x80) of
      0x72 -> jump       =<< readCpu cf                 -- JB/JNAE/JC
      0x74 -> jump       =<< readCpu zf                 -- JE/JZ
      0x75 -> jump . not =<< readCpu zf                 -- JNE/JNZ
      0x76 -> jump       =<< (||) <$> readCpu cf <*> readCpu zf -- JBE
      0x77 -> jump . not =<< (||) <$> readCpu cf <*> readCpu zf -- JA
      0x79 -> jump . not =<< readCpu sf                 -- JNS
      0x81 -> do                                        -- 16b arith to imm
        (operand,_,op) <- readModRM
        alu word (readW operand) readInstr16 operand (decodeALU op)
      0x82 -> do                                        -- 8b arith to imm
        (operand,_,op) <- readModRM
        alu byte (readW operand) readInstr8 operand (decodeALU op)
      0x83 -> do                                        -- 16b arith to 8s imm
        (operand,_,op) <- readModRM
        alu word (readW operand) (byteToWordSE <$> readInstr8) operand
            (decodeALU op)
      0x86 -> do                                        -- 8b XCHG reg,RM
        (operand,reg,_) <- readModRM
        v1 <- readDecodedReg8 reg
        v2 <- readW operand
        writeReg (decodeReg8 reg) (v2 :: Word8)
        writeW operand v1
      0x88 -> do                                        -- 8b MOV RM,reg
        (operand,reg,_) <- readModRM
        readDecodedReg8 reg >>= writeW operand
      0x89 -> do                                        -- 16b MOV RM,reg
        (operand,reg,_) <- readModRM
        readDecodedReg16 reg >>= writeW operand
      0x8A -> do                                        -- 8b MOV reg,RM
        (operand,reg,_) <- readModRM
        val <- readW operand
        writeReg (decodeReg8 reg) (val :: Word8)
      0x8B -> do                                        -- 16b MOV reg,RM
        (operand,reg,_) <- readModRM
        val <- readW operand
        writeReg (decodeReg16 reg) (val :: Word16)
      0xC3 -> pop16 >>= writeReg regIp                  -- RET
      0xC7 -> do (operand,_,_) <- readModRM             -- 16b MOV RM,imm
                 readInstr16 >>= writeW operand
      0xE8 -> readInstr16 >>= incrIP >>= push16         -- CALL relative
      0xEB -> jump True                                 -- JMP short
      0xF4 -> fail "Halting and Catching Fire"          -- HLT
      0xF9 -> writeCpu cf True                          -- STC
      0xFE -> do                                        -- 8-bit INC/DEC RM
        (operand,_,op) <- readModRM
        alu byte (readW operand) (return $ 1-2*op) operand
            (\a b -> return (True,Nothing,a+b)) -- kinda duplicate :(

------------------------------------------------------------

main = do
  rawRam <- (++ repeat 0) . B.unpack <$> B.getContents
  putStr $ unlines $ runST $ do
    cpu <- newCPU rawRam
    flip runReaderT cpu $ runMaybeT $ do
      writeReg regSp (0x100 :: Word16)
      forever processInstr

    -- Next three lines is the screen dump extraction.
    forM [0..25] $ \i -> forM [0..79] $ \j -> do
      c <- chr . fromIntegral <$> readArray (ram cpu) (0x8000 + 80*i + j)
      return $ bool ' ' c (isPrint c)

প্রদত্ত নমুনা বাইনারি জন্য আউটপুট স্পেসিফিকেশন পুরোপুরি মেলে। একটি অনুরোধ ব্যবহার করে এটি ব্যবহার করে দেখুন:

runhaskell 8086.hs <8086.bin

বেশিরভাগ বাস্তবায়ন না করা অপারেশনগুলির ফলে কেবলমাত্র একটি প্যাটার্ন ম্যাচিং ব্যর্থতা দেখা দেয়।

আমি এখনও আরও কিছুটা ফ্যাক্টর করতে চাই এবং অভিশাপ সহ বাস্তব লাইভ আউটপুট বাস্তবায়ন করি।

আপডেট 1: এটি 234 লাইনে নেমে গেছে। কার্যকারিতা অনুসারে কোডটি আরও ভালভাবে সাজানো, যা হতে পারে তা পুনরায় সাজানো, 80 টি কলামে আটকে থাকার চেষ্টা করা। এবং এএলইউকে একাধিকবার রিফেক্টর করেছে।

আপডেট 2: এটি পাঁচ বছর হয়ে গেছে, আমি সর্বশেষ GHC যাতে নির্বিঘ্নে সংকলন করতে এটি পেতে একটি আপডেট পেয়েছি। এ পথ ধরে:

  • লিফটএম, লিফটএম 2 এবং এর থেকে মুক্তি পেয়েছে। আমি উপস্থাপিকা থাকা <$>এবং পছন্দ করি <*>
  • ডেটা.বুল এবং ডেটা.বাইটস্ট্রিং কিছুটা সাশ্রয় করে এবং পরিষ্কার করে।
  • আইপি নিবন্ধটি বিশেষ (অবিচলিত) হয়ে থাকত, এখন এটি রেজিস্টার ফাইলে। এটি এতটা 8086 বোঝায় না, তবে ওহে আমি একজন গল্ফার।
  • এটি এখন সমস্ত খাঁটি এসটি-ভিত্তিক কোড। গল্ফিং দৃষ্টিকোণ থেকে, এই স্তন্যপান করা হয়, কারণ এটি প্রচুর পরিমাণে স্বাক্ষরগুলি প্রয়োজনীয় করে তোলে। অন্যদিকে, আমার বিবেক নিয়ে আমার একটি সারি ছিল এবং আমি হেরে গেলাম, তাই এখন আপনি পরিষ্কার, দীর্ঘ কোডটি পান।
  • সুতরাং এখন এটি গিট ট্র্যাক হয়।
  • আরও গুরুতর মন্তব্য যুক্ত। ফলস্বরূপ, আমি লাইন গণনা করার পদ্ধতিটি বদলে গেছে: আমি খালি এবং খাঁটি-মন্তব্য লাইন ফেলে দিচ্ছি। আমি এখানে সমস্ত লাইনের গ্যারান্টি দিচ্ছি তবে আমদানি 80 টি অক্ষরের চেয়ে কম দীর্ঘ। আমি যে ধরণের স্বাক্ষর রেখেছি তা যেহেতু আসলেই তা সঠিকভাবে সংকলন করা দরকার (এসটি পরিষ্কার করার জন্য আপনাকে অনেক ধন্যবাদ))

কোডের মন্তব্যে যেমন বলা হয়েছে, 5 টি লাইন (ডেটা.চার আমদানি, 8-বিট রেজিস্টার ম্যাপিংস এবং স্ক্রিন ডাম্প) সুনির্দিষ্ট নয়, তাই যদি আপনি এত ঝোঁক বোধ করেন তবে সেগুলি ছাড় দেওয়ার জন্য আপনাকে খুব স্বাগত জানাই :-)


3
সুন্দর. এটি সত্যিই সংক্ষিপ্ত, বিশেষত আমার সমাধান এবং অন্যটির সাথে তুলনা করা। আপনার কোডটি খুব ভাল দেখাচ্ছে, যদিও আমাকে প্রথমে হাস্কেল শিখতে হবে।
অনুলিপি

3
চমৎকার কাজ! খুব ছোট. আমার তাত্ক্ষণিক শিখতে হবে।
জা

কি .|.? / 10चार
সোহম চৌধুরী

@ অটোটোয়ান অপারেশনটি x86 অপকডগুলিতে ওআর হিসাবে পরিচিত।
জেবি

46

সি - 7143 লাইন (সিপিইউ নিজেই 3162 লাইন)

সম্পাদনা: ভার্চুয়াল ডিস্কগুলি পরিবর্তন করার জন্য উইন্ডোজ বিল্ডের এখন ড্রপ-ডাউন মেনু রয়েছে।

আমি একটি পূর্ণ 80186 / V20 পিসি এমুলেটর লিখেছি (সিজিএ / এমসিজিএ / ভিজিএ, সাউন্ড ব্লাস্টার, অ্যাডলিব, মাউস ইত্যাদি), কোনও উপায়ে 8086 অনুকরণ করা তুচ্ছ বিষয় নয়। পুরোপুরি নির্ভুল হতে বেশ কয়েক মাস সময় লেগেছিল। এখানে আমার এমুলেটরটির বাইরে সিপিইউ মডিউলটি রয়েছে।

http://sourceforge.net/p/fake86/code/ci/master/tree/src/fake86/cpu.c

আমি প্রথমে স্বীকার করব যে আমি এই এমুলেটরটিতে অনেক বেশি গ্লোবাল ভেরিয়েবল ব্যবহার করি। আমি যখন সিটিতে এখনও নতুন ছিলাম তখন এটি লিখতে শুরু করি এবং এটি প্রদর্শিত হয় shows আমার এই দিনগুলির একটি পরিষ্কার করতে হবে। এর মধ্যে অন্যান্য উত্স ফাইলগুলি বেশ কুৎসিত দেখাচ্ছে না।

আপনি এখানে সমস্ত কোড দেখতে পারেন (এবং কিছু স্ক্রিনশট, একটি নীচে রয়েছে): http://sourceforge.net/p/fake86

যারা নিজের লেখা লিখতে চায় অন্য কাউকে সাহায্য করতে পেরে আমি খুব খুশি হব কারণ এটি অনেক মজাদার, এবং আপনি সিপিইউ সম্পর্কে প্রচুর শিখেন! দাবি অস্বীকার: আমি ভি 20 এর 8080 অনুকরণটি যুক্ত করি নি কারণ এটি পিসি প্রোগ্রামে প্রায় কখনও ব্যবহৃত হয়নি। কোনও লাভের জন্য অনেক কাজ মনে হচ্ছে।

স্ট্রিট ফাইটার 2!


3
সাবাশ! গেমস কি আসলে পুরো গতিতে চলে?
অনুলিপি করুন

1
ধন্যবাদ। হ্যাঁ, এটি 8088 এর চেয়ে বহুগুণ দ্রুত গতিতে চলে। একটি আধুনিক সিস্টেমে এটি 486 -র মতো গতি করতে পারে। সত্যিকারের ভাল প্রসেসরের ক্ষেত্রে এটি নিম্ন-পেন্টিয়ামের মতো। দুর্ভাগ্যক্রমে একটি সিপিইউ অনুকরণ করা সত্যিই মাল্টিথ্রেড করা যায় না। আমি যদিও এর নিজস্ব থ্রেডে সমস্ত ভিডিও রেন্ডারিং করি। আমি এটি আমার পুরানো 400 মেগাহার্টজ পাওপিসি জি 3 তে চালিয়েছি, এটি সত্যিকারের 8088 গতিতে নেমে এসেছে।
মাইক সি

1
অসাধারণ! আমি আরও ওপি কোড এবং বিভাজন বাস্তবায়ন করতে চেয়েছিলাম; যাইহোক, এটি চালানোর জন্য খুব অনেক পরীক্ষার প্রোগ্রাম খুঁজে পেতে অক্ষম ছিল। আপনি কি পুরানো রম ডাউনলোড করেছেন?
ডেভ সি

1
ডেভ, বাস্তবে সেখানে 8086 পরীক্ষার রমগুলির মারাত্মক অভাব নেই কারণ আপনি খুব খুঁজে পেয়েছেন ingly আমি যেভাবে গিয়েছিলাম তা হ'ল জেনেরিক এক্সটি বায়োস রমকে সঠিকভাবে রান করে শুরু করা। যদি এটি বেশি কাজ করে তবে আপনার বিভাগটি সম্ভবত ঠিক আছে। এর পরে, ডস কাজ করা শুরু না করা পর্যন্ত এটি ডিবাগ করছে ... তারপরে অ্যাপস এবং গেমগুলিতে! :)
মাইক সি

1
@ মাইকিসি আমি কিছু প্রাথমিক সাহায্য বা পয়েন্টার চাই! (পুন ইনটেন্ডেড: পি)। আমি এখন অনেক বছর ধরে একটি ডেস্কটপ এবং ওয়েব অ্যাপ্লিকেশন বিকাশকারী এবং ধীরে ধীরে আমি এমন একটি পয়েন্টে পৌঁছেছি যেখানে আমার লিনাক্স উত্স কোড রয়েছে have আমি সাধারণত বুঝতে পারি কীভাবে কোনও ওএসের বিভিন্ন টুকরা কাজ করে এবং আমি ছোট খেলনা ওএস প্রকল্পগুলির সাথে খেলতে সক্ষম হয়েছি। তবে ডাইরেক্ট হার্ডওয়ারের সাথে আলাপচারিতাটি আমাকে কেবল ছাড়িয়ে যায়!
গিডিওন

41

পোস্টস্ক্রিপ্ট (130 200 367 517 531 222 246 লাইন)

এখনও একটি কাজ-অগ্রগতি, কিন্তুঅন্যকে কিছু কোড দেখানোর জন্য উত্সাহিত করার প্রয়াসে আমি কিছু কোড প্রদর্শন করতে চেয়েছিলাম ।

রেজিস্টার সেটটি একটি স্ট্রিং হিসাবে উপস্থাপিত হয়, তাই বিভিন্ন বাইট এবং শব্দের আকারের নিবন্ধগুলি সাবস্ট্রিংগুলিকে উল্লেখ করে স্বাভাবিকভাবে ওভারল্যাপ করতে পারে। সাবস্ট্রিংগুলি জুড়ে পয়েন্টার হিসাবে ব্যবহৃত হয়, যাতে একটি রেজিস্টার এবং একটি মেমরি অবস্থান (মেমরি স্ট্রিংয়ের সাবস্ট্রিং) অপারেটর ফাংশনগুলিতে সমানভাবে চিকিত্সা করা যায়।

তারপরে একটি "পয়েন্টার" থেকে, মেমরি থেকে, মেম [[আইপি)] (ইনক্রিমেন্টিং আইপি) থেকে ডেটা (বাইট বা শব্দ) পাওয়ার এবং সঞ্চয় করার জন্য হাতে গোনা কয়েকটি শব্দ রয়েছে। তারপরে MOD-REG-R / M বাইট আনার এবং আরইজি এবং আর / এম এবং এমওডি ভেরিয়েবলগুলি সেট করতে এবং টেবিলগুলি ব্যবহার করে সেগুলি ডিকোড করার জন্য কয়েকটি ফাংশন রয়েছে। তারপরে অপারকটি ফাংশন করে, অপকোড বাইটে কীড করে। সুতরাং এক্সিকিউশন লুপটি সহজভাবে fetchb load exec

আমি কেবল কয়েকটি মুষ্টিমেয় অপকড প্রয়োগ করেছি, তবে জিঅপারেন্ডের ডিকোডিংটি এমন মাইলফলকের মতো অনুভূত হয়েছিল যা আমি এটি ভাগ করে নিতে চাই।

সম্পাদনা করুন: নেতিবাচক সংখ্যার সাইন-প্রসারিত করার জন্য শব্দ যুক্ত করা হয়েছে। আরও অপকডস। রেজিস্টার কার্যক্রমে বাগফিক্স। মন্তব্য। এখনও পতাকা নিয়ে কাজ করছে এবং অপারেটরগুলি পূরণ করছে। আউটপুট কিছু পছন্দ উপস্থাপন করে: সমাপ্তির সময় স্ট্যান্ডআউটে টেক্সট আউটপুট, ভিটি 100 কোড ব্যবহার করে অবিচ্ছিন্ন আউটপুট, সিপি 437 ফন্ট ব্যবহার করে চিত্র উইন্ডোতে আউটপুট।

সম্পাদনা: লেখা শেষ, ডিবাগিং শুরু। এটি আউটপুট প্রথম চার বিন্দু পায়! তারপরে ক্যারিটি ভুল হয়ে যায়। নিদ্রালু।

সম্পাদনা: আমার মনে হয় আমি ক্যারি পতাকাটি বাছাই করেছি। গল্পটির কিছুটি ঘটেছিল comp.lang.posts স্ক্রিপ্টে । আমি কিছু ডিবাগিং যন্ত্রপাতি যুক্ত করেছি এবং আউটপুট গ্রাফিক্স উইন্ডোতে চলে গেছে (আমার আগের লিখিত কোড-পৃষ্ঠা 437 টাইপ -3 ফন্ট ব্যবহার করে ), সুতরাং পাঠ্য আউটপুটটি ট্রেস এবং ডাম্পগুলিতে পূর্ণ হতে পারে। এটি "হ্যালো ওয়ার্ল্ড!" লিখেছেন এবং তারপরে সেই সন্দেহজনক ক্যারেট রয়েছে। তারপরে পুরো লোটায় নয় '। :( আমরা সেখানে যাব। সমস্ত উত্সাহের জন্য ধন্যবাদ!

সম্পাদনা: সমাপ্তির জন্য পরীক্ষা চালায়। চূড়ান্ত কয়েকটি বাগগুলি ছিল: এক্সএইচজিজি 2 {রিড ​​স্টোর করে} এক্সচেঞ্জের চেয়ে কোর্সগুলির অবশ্যই অনুলিপি করুন এবং পতাকাগুলি স্থাপন না করে, (এফই) আইএনসি বাইট পয়েন্টার থেকে কোনও শব্দ পাওয়ার চেষ্টা করছে।

সম্পাদনা করুন: ম্যানুয়াল থেকে সংক্ষিপ্ত টেবিলটি ব্যবহার করে স্ক্র্যাচ থেকে মোট পুনরায় লিখুন ( একটি নতুন পৃষ্ঠা পরিণত হয়েছে! )। আমি ভাবতে শুরু করি যে অপকডগুলি থেকে স্টোর ফ্যাক্টরিং আউট করা একটি খারাপ ধারণা ছিল তবে এটি অপ্ট্যাবকে সুন্দর রাখতে সহায়তা করেছে। এবার কোনও স্ক্রিনশট নেই। আমি ভিডিও মেমরি ডাম্প করার জন্য একটি নির্দেশের কাউন্টার এবং একটি মোড-ট্রিগার যুক্ত করেছি, তাই এটি ডিবাগের তথ্য দিয়ে সহজেই ইন্টারলাইভ করে।

সম্পাদনা: আবার পরীক্ষা প্রোগ্রাম চালায়! সংক্ষিপ্ত পুনর্লিখনের জন্য চূড়ান্ত কয়েকটি বাগগুলি অপকড 83 ("তাত্ক্ষণিক" গ্রুপ) এবং ইবি (সংক্ষিপ্ত জেএমপি) তে তাত্ক্ষণিক বাইট সাইন-প্রসারিত করতে অবহেলা করছে। 24-লাইন বৃদ্ধি সেই চূড়ান্ত বাগগুলি অনুসন্ধান করার জন্য অতিরিক্ত ডিবাগিং রুটিনগুলি কভার করে।

%!
%a8086.ps Draught2:BREVITY
[/NULL<0000>/nul 0
/mem 16#ffff string %16-bit memory
/CF 0 /OF 0 /AF 0 /ZF 0 /SF 0
/regs 20 string >>begin %register byte storage
0{AL AH CL CH DL DH BL BH}{regs 2 index 1 getinterval def 1 add}forall pop
0{AX CX DX BX SP BP SI DI IP FL}{regs 2 index 2 getinterval def 2 add}forall pop

%getting and fetching
[/*b{0 get} %get byte from pointer
/*w{dup *b exch 1 get bbw} %get word from pointer
/*{{*b *w}W get exec} %get data(W) from pointer
/bbw{8 bitshift add} %lo-byte hi-byte -> word
/shiftmask{2 copy neg bitshift 3 1 roll 1 exch bitshift 1 sub and}
/fetchb{IP *w mem exch get bytedump   IP dup *w 1 add storew} % byte(IP++)
/fetchw{fetchb fetchb bbw} % word(IP),IP+=2

%storing and accessing
/storeb{16#ff and 0 exch put} % ptr val8 -> -
/storew{2 copy storeb -8 bitshift 16#ff and 1 exch put} % ptr val16 -> -
/stor{{storeb storew}W get exec} % ptr val(W) -> -
/memptr{16#ffff and mem exch {1 2}W get getinterval} % addr -> ptr(W)

%decoding the mod-reg-reg/mem byte
/mrm{fetchb 3 shiftmask /RM exch def 3 shiftmask /REG exch def /MOD exch def}
/REGTAB[[AL CL DL BL AH CH DH BH][AX CX DX BX SP BP SI DI]]
/decreg{REGTAB W get REG get} % REGTAB[W][REG]
%2 indexes,   with immed byte,   with immed word
/2*w{exch *w exch *w add}/fba{fetchb add}/fwa{fetchw add}
/RMTAB[[{BX SI 2*w}{BX DI 2*w}{BP SI 2*w}{BP DI 2*w}
    {SI *w}{DI *w}{fetchw}{BX *w}]
[{BX SI 2*w fba}{BX DI 2*w fba}{BP SI 2*w fba}{BP DI 2*w fba}
    {SI *w fba}{DI *w fba}{BP *w fba}{BX *w fba}]
[{BX SI 2*w fwa}{BX DI 2*w fwa}{BP SI 2*w fwa}{BP DI 2*w fwa}
    {SI *w fwa}{DI *w fwa}{BP *w fwa}{BX *w fwa}]]
/decrm{MOD 3 eq{REGTAB W get RM get} %MOD=3:register mode
    {RMTAB MOD get RM get exec memptr}ifelse} % RMTAB[MOD][RM] -> addr -> ptr

%setting and storing flags
/flagw{OF 11 bitshift SF 7 bitshift or ZF 6 bitshift or AF 4 bitshift CF or}
/wflag{dup 1 and /CF exch def dup -4 bitshift 1 and /AF exch def
    dup -6 bitshift 1 and /ZF exch def dup -7 bitshift 1 and /SF exch def
    dup -11 bitshift 1 and /OF exch def}
/nz1{0 ne{1}{0}ifelse}
/logflags{/CF 0 def /OF 0 def /AF 0 def %clear mathflags
    dup {16#80 16#8000}W get and nz1 /SF exch def
    dup {16#ff 16#ffff}W get and 0 eq{1}{0}ifelse /ZF exch def}
/mathflags{{z y x}{exch def}forall
    /CF z {16#ff00 16#ffff0000}W get and nz1 def
    /OF z x xor z y xor and {16#80 16#8000}W get and nz1 def
    /AF x y xor z xor 16#10 and nz1 def
    z} %leave the result on stack

%opcodes (each followed by 'stor')  %% { OPTAB fetchb get exec stor } loop
/ADD{2 copy add logflags mathflags}
/OR{or logflags}
/ADC{CF add ADD}
/SBB{D 1 xor {exch}repeat CF add 2 copy sub logflags mathflags}
/AND{and logflags}
/SUB{D 1 xor {exch}repeat 2 copy sub logflags mathflags}
/XOR{xor logflags}
/CMP{3 2 roll pop NULL 3 1 roll SUB} %dummy stor target
/INC{t CF exch dup * 1 ADD 3 2 roll /CF exch def}
/DEC{t CF exch dup * 1 SUB 3 2 roll /CF exch def}
/PUSH{SP dup *w 2 sub storew   *w SP *w memptr exch}
/POP{SP *w memptr *w   SP dup *w 2 add storew}

/jrel{w {CBW IP *w add IP exch}{NULL exch}ifelse}
/JO{fetchb OF 1 eq jrel }
/JNO{fetchb OF 0 eq jrel }
/JB{fetchb CF 1 eq jrel }
/JNB{fetchb CF 0 eq jrel }
/JZ{fetchb ZF 1 eq jrel }
/JNZ{fetchb ZF 0 eq jrel }
/JBE{fetchb CF ZF or 1 eq jrel }
/JNBE{fetchb CF ZF or 0 eq jrel }
/JS{fetchb SF 1 eq jrel }
/JNS{fetchb SF 0 eq jrel }
/JL{fetchb SF OF xor 1 eq jrel }
/JNL{fetchb SF OF xor 0 eq jrel }
/JLE{fetchb SF OF xor ZF or 1 eq jrel }
/JNLE{fetchb SF OF xor ZF or 0 eq jrel }

/bw{dup 16#80 and 0 ne{16#ff xor 1 add 16#ffff xor 1 add}if}
/IMMTAB{ADD OR ADC SBB AND SUB XOR CMP }cvlit
/immed{ W 2 eq{ /W 1 def
            mrm decrm dup * fetchb bw
    }{ mrm decrm dup * {fetchb fetchw}W get exec }ifelse
    exch IMMTAB REG get dup == exec }

%/TEST{ }
/XCHG{3 2 roll pop 2 copy exch * 4 2 roll * stor }
/AXCH{w dup AX XCHG }
/NOP{ NULL nul }
/pMOV{D{exch}repeat pop }
/mMOV{ 3 1 roll pop pop }
/MOV{ }
/LEA{w mrm decreg RMTAB MOD get RM get exec }

/CBW{dup 16#80 and 0 ne {16#ff xor 1 add 16#ffff xor 1 add } if }
/CWD{dup 16#8000 and 0 ne {16#ffff xor 1 add neg } if }
/CALL{w xp /xp{}def fetchw IP PUSH storew IP dup *w 3 2 roll add dsp /dsp{}def }
%/WAIT{ }
/PUSHF{NULL dup flagw storew 2 copy PUSH }
/POPF{NULL dup POP *w wflag }
%/SAHF{ }
%/LAHF{ }

%/MOVS{ }
%/CMPS{ }
%/STOS{ }
%/LODS{ }
%/SCAS{ }
/RET{w IP POP storew SP dup * 3 2 roll add }
%/LES{ }
%/LDS{ }

/JMP{IP dup fetchw exch *w add}
/sJMP{IP dup fetchb bw exch *w add}

/HLT{exit}
/CMC{/CF CF 1 xor def NULL nul}
/CLC{/CF 0 def NULL nul}
/STC{/CF 1 def NULL nul}

/NOT{not logflags }
/NEG{neg logflags }
/GRP1TAB{TEST --- NOT NEG MUL IMUL DIV IDIV } cvlit
/Grp1{mrm decrm dup * GRP1TAB REG get
dup ==
exec }
/GRP2TAB{INC DEC {id CALL}{l id CALL}{id JMP}{l id JMP} PUSH --- } cvlit
/Grp2{mrm decrm GRP2TAB REG get
dup ==
exec }

%optab shortcuts
/2*{exch * exch *}
/rm{mrm decreg decrm D index 3 1 roll 2*} % fetch,decode mrm -> dest *reg *r-m
/rmp{mrm decreg decrm D index 3 1 roll} % fetch,decode mrm -> dest reg r-m
/ia{ {{AL dup *b fetchb}{AX dup *w fetchw}}W get exec } %immed to accumulator
/is{/W 2 def}
/b{/W 0 def} %select byte operation
/w{/W 1 def} %select word operation
/t{/D 1 def} %dest = reg
/f{/D 0 def} %dest = r/m
/xp{} /dsp{}
%/far{ /xp { <0000> PUSH storew } /dsp { fetchw pop } def }
/i{ {fetchb fetchw}W get exec }

/OPTAB{
{b f rm ADD}{w f rm ADD}{b t rm ADD}{w t rm ADD}{b ia ADD}{w ia ADD}{ES PUSH}{ES POP} %00-07
 {b f rm OR}{w f rm OR}{b t rm OR}{w t rm OR}{b ia OR}{w ia OR}{CS PUSH}{}            %08-0F
{b f rm ADC}{w f rm ADC}{b t rm ADC}{w t rm ADC}{b ia ADC}{w ia ADC}{SS PUSH}{SS POP} %10-17
 {b f rm SBB}{w f rm SBB}{b t rm SBB}{w t rm SBB}{b ia SBB}{w ia SBB}{DS PUSH}{DS POP}%18-1F
{b f rm AND}{w f rm AND}{b t rm AND}{w t rm AND}{b ia AND}{w ia AND}{ES SEG}{DAA}     %20-27
 {b f rm SUB}{w f rm SUB}{b t rm SUB}{w t rm SUB}{b ia SUB}{w ia SUB}{CS SEG}{DAS}    %28-2F
{b f rm XOR}{w f rm XOR}{b t rm XOR}{w t rm XOR}{b ia XOR}{w ia XOR}{SS SEG}{AAA}     %30-37
 {b f rm CMP}{w f rm CMP}{b t rm CMP}{w t rm CMP}{b ia CMP}{w ia CMP}{DS SEG}{AAS}    %38-3F
{w AX INC}{w CX INC}{w DX INC}{w BX INC}{w SP INC}{w BP INC}{w SI INC}{w DI INC}      %40-47
 {w AX DEC}{w CX DEC}{w DX DEC}{w BX DEC}{w SP DEC}{w BP DEC}{w SI DEC}{w DI DEC}     %48-4F
{AX PUSH}{CX PUSH}{DX PUSH}{BX PUSH}{SP PUSH}{BP PUSH}{SI PUSH}{DI PUSH}              %50-57
 {AX POP}{CX POP}{DX POP}{BX POP}{SP POP}{BP POP}{SI POP}{DI POP}                     %58-5F
{}{}{}{}{}{}{}{}  {}{}{}{}{}{}{}{}                                                    %60-6F
{JO}{JNO}{JB}{JNB}{JZ}{JNZ}{JBE}{JNBE} {JS}{JNS}{JP}{JNP}{JL}{JNL}{JLE}{JNLE}         %70-7F

{b f immed}{w f immed}{b f immed}{is f immed}{b TEST}{w TEST}{b rmp XCHG}{w rmp XCHG}   %80-87
 {b f rm pMOV}{w f rm pMOV}{b t rm pMOV}{w t rm pMOV}                                 %88-8B
   {sr f rm pMOV}{LEA}{sr t rm pMOV}{w mrm decrm POP}                                 %8C-8F
{NOP}{CX AXCH}{DX AXCH}{BX AXCHG}{SP AXCH}{BP AXCH}{SI AXCH}{DI AXCH}             %90-97
 {CBW}{CWD}{far CALL}{WAIT}{PUSHF}{POPF}{SAHF}{LAHF}                                  %98-9F
{b AL m MOV}{w AX m MOV}{b m AL MOV}{b AX m MOV}{MOVS}{MOVS}{CMPS}{CMPS}              %A0-A7
 {b i a TEST}{w i a TEST}{STOS}{STOS}{LODS}{LODS}{SCAS}{SCAS}                         %A8-AF
{b AL i MOV}{b CL i MOV}{b DL i MOV}{b BL i MOV}                                      %B0-B3
 {b AH i MOV}{b CH i MOV}{b DH i MOV}{b BH i MOV}                                     %B4-B7
 {w AX i MOV}{w CX i MOV}{w DX i MOV}{w BX i MOV}                                     %B8-BB
 {w SP i MOV}{w BP i MOV}{w SI i MOV}{w DI i MOV}                                     %BC-BF
{}{}{fetchw RET}{0 RET}{LES}{LDS}{b f rm i mMOV}{w f rm i mMOV}                       %C0-B7
 {}{}{fetchw RET}{0 RET}{3 INT}{fetchb INT}{INTO}{IRET}                               %C8-CF
{b Shift}{w Shift}{b v Shift}{w v Shift}{AAM}{AAD}{}{XLAT}                            %D0-D7
 {0 ESC}{1 ESC}{2 ESC}{3 ESC}{4 ESC}{5 ESC}{6 ESC}{7 ESC}                             %D8-DF
{LOOPNZ}{LOOPZ}{LOOP}{JCXZ}{b IN}{w IN}{b OUT}{w OUT}                                 %E0-E7
 {CALL}{JMP}{far JMP}{sJMP}{v b IN}{v w IN}{v b OUT}{v w OUT}                         %E8-EF
{LOCK}{}{REP}{z REP}{HLT}{CMC}{b Grp1}{w Grp}                                         %F0-F7
 {CLC}{STC}{CLI}{STI}{CLD}{STD}{b Grp2}{w Grp2}                                       %F8-FF
}cvlit

/break{ /hook /pause load def }
/c{ /hook {} def }
/doprompt{
    (\nbreak>)print
    flush(%lineedit)(r)file
    cvx {exec}stopped pop }
/pause{ doprompt }
/hook{}

/stdout(%stdout)(w)file
/bytedump{ <00> dup 0 3 index put stdout exch writehexstring ( )print }
/regdump{ REGTAB 1 get{ stdout exch writehexstring ( )print }forall
    stdout IP writehexstring ( )print
    {(NC )(CA )}CF get print
    {(NO )(OV )}OF get print
    {(NS )(SN )}SF get print
    {(NZ )(ZR )}ZF get print
    stdout 16#1d3 w memptr writehexstring
    (\n)print
}
/mainloop{{
    %regdump
    OPTAB fetchb get
    dup ==
    exec
    %pstack flush
    %hook
    stor
    /ic ic 1 add def ictime
}loop}

/printvideo{
    0 1 28 {
        80 mul 16#8000 add mem exch 80 getinterval {
            dup 0 eq { pop 32 } if
                    dup 32 lt 1 index 126 gt or { pop 46 } if
            stdout exch write
        } forall (\n)print
    } for
    (\n)print
}
/ic 0
/ictime{ic 10 mod 0 eq {onq} if}
/timeq 10
/onq{ %printvideo
}
>>begin
currentdict{dup type/arraytype eq 1 index xcheck and
    {bind def}{pop pop}ifelse}forall

SP 16#100 storew
(codegolf.8086)(r)file mem readstring pop
pop[

mainloop
printvideo

%eof

এবং আউটপুট (সংক্ষেপিত ডিবাগিং আউটপুটটির টেল-এন্ড সহ)।

75 {JNZ}
19 43 {w BX INC}
83 {is f immed}
fb 64 CMP
76 {JBE}
da f4 {HLT}
.........
Hello, world!
0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~


################################################################################
##                                                                            ##
##  0 1 1 2 3 5 8 13 21 34 55 89 144 233 377 610 987                          ##
##                                                                            ##
##  0 1 4 9 16 25 36 49 64 81 100 121 144 169 196 225 256 289 324 361 400     ##
##                                                                            ##
##  2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97    ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
################################################################################





GS<1>

5
ভাবছি ... F4h 8086 HLT অপকোড হওয়ায় কোনও অ্যাপ্লিকেশনটি Alt-F4 বন্ধ করার জন্য হট-কীটি কি ?
luser droog

5
আমি আপনাকে কেবল এটিই বলতে চাই যে পোস্টস্ক্রিপ্টে এটি বাস্তবায়নের জন্য আপনি একেবারে দুর্দান্ত।
cemper93

1
কোডটি সংক্ষিপ্ত । এটি আরও উন্নয়নের দাবিদার। আমার জন্য, একটি শুরু করার জন্য।
জেবি

2
অপেক্ষা করুন ... পোস্টস্ক্রিপ্ট একটি প্রোগ্রামিং ভাষা ?! ;)
n611x007

32

জাভাস্ক্রিপ্ট

আমি jslinux দ্বারা অনুপ্রাণিত জাভাস্ক্রিপ্টে একটি 486 এমুলেটর লিখছি। আমি যদি জানতাম এটির কতটা কাজ হবে, আমি সম্ভবত কখনই শুরু করতাম না তবে এখন আমি এটি শেষ করতে চাই।

তারপরে আমি আপনার চ্যালেঞ্জের মুখোমুখি হয়েছি এবং পরীক্ষার জন্য একটি 8086 প্রোগ্রাম পেয়ে খুব খুশি হয়েছিল।

http://i.stack.imgur.com/54a6S.png

আপনি এটি সরাসরি দেখতে "দেখতে" পারেন: http://codinguncut.com/jsmachine/

গ্রাফিক্স বাফার মুদ্রণের সময় আমার একটি সমস্যা ছিল। যেখানে স্পেস থাকা উচিত, মেমোরিতে "00" উপাদান রয়েছে। "0x00" স্থান হিসাবে ব্যাখ্যা করা কি সঠিক বা আমার এমুলেটরটিতে আমার একটি বাগ আছে?

চিয়ার্স,

জোহানেস


আকর্ষণীয়, আমি আসলে আপনার স্ক্রিনকাস্টগুলি থেকে আপনার নামটি জানি, যা আমি এই চ্যালেঞ্জে হাস্কেলের প্রতিক্রিয়ার পরে দেখেছি (এবং আমি জাভাস্ক্রিপ্টে একটি x86 এমুলেটরও শুরু করেছি)। হ্যাঁ, শূন্য বাইট ফাঁকা হিসাবে উপস্থিত হওয়া উচিত। আমি আপনার পোস্টে স্ক্রিনশটও যুক্ত করেছি। +1 যাইহোক :-)
অনুলিপি করুন

@ জোহনেস আমার কাছে মাইকিপু-মিন.জেএস কোডের মাধ্যমে একটি তাত্ক্ষণিক দৃষ্টি আকর্ষণ করেছে। আমি যা বলতে পারি সে থেকে আপনি cpux86.js (FB এর jslinux এর) থেকে কয়েকটি ধারণা ব্যবহার করেছেন। অভিনন্দন! একটি ভালো কাজ. নন-সংকলিত মাইকপু.জেএস কোথাও দেখার কোনও সম্ভাবনা? আশা করি github.com/codinguncut
ইয়াহেন ইয়াকিমোভিচ

@ ইয়াহেন ইয়াকিমোভিচ নং, আমি জেসলিনাক্সের কোনও কোডই পুনরায় ব্যবহার করি নি। আমি এখন পর্যন্ত সমস্ত 286 নির্দেশাবলী বিয়োগ পৃষ্ঠাকরণ এবং বিভাগকরণ (এমএমএম) প্রয়োগ করেছি। আমার পরিকল্পনাটি জিপিএল এর অধীনে কোডটি প্রকাশ করার ছিল, তবে আমি চালানোর জন্য আইডিয়াটি সত্যিই বাণিজ্যিকীকরণ করতে চাই F ফ্রিডোস বা রিএক্টোস তাই লাইসেন্সের বিষয়ে আমি এখনও অনিশ্চিত। সত্য কথাটি, পুরো মেমরি এমজিএমটি বাস্তবায়নে আমার অনেক বেশি সময় লাগবে। এবং তারপরে এটি গতিতে চালানোর জন্য একটি দীর্ঘ সময়। আমি অবশ্যই github.com/codinguncut এ ভাগ করব। আপনার মতামতের জন্য ধন্যবাদ, জোহানেস
ফ্লুবুইড

1
লিঙ্কটি আমার জন্য নষ্ট হয়ে গেছে। (উইন্ডোজ 8-এ IE)
কনার ও'ব্রায়েন

এটি খুব দেরিতে আসে। চরিত্র শূন্য ভিডিও র‌্যামের আরেকটি স্থান।
জোশুয়া

30

সি ++

আমি এই কোড চ্যালেঞ্জের জন্য আমাদের এন্ট্রি জমা দিতে চাই। এটি সি ++ তে লেখা ছিল এবং পরীক্ষা প্রোগ্রামটি পুরোপুরি চালায়। আমরা ওয়ান বাইট অপ কোড এবং বেসিক বিভাগের 90% প্রয়োগ করেছি (কিছু অক্ষম কারণ এটি পরীক্ষার প্রোগ্রামের সাথে কাজ করে না)।

প্রোগ্রামটি লিখে রাখুন: http://davecarruth.com/index.php/2012/04/15/creating-an-8086- emulator

আপনি ব্লগ পোস্টের শেষে জিপ ফাইলে কোডটি সন্ধান করতে পারেন।

স্ক্রিনশট পরীক্ষা প্রোগ্রাম চালাচ্ছে: এখানে চিত্র বর্ণনা লিখুন

এটি বেশ খানিকটা সময় নিয়েছে ... আপনার যদি কোনও প্রশ্ন বা মন্তব্য থাকে তবে নির্দ্বিধায় আমাকে বার্তা দিন। এটি অবশ্যই অংশীদার প্রোগ্রামিংয়ের একটি দুর্দান্ত অনুশীলন ছিল।


3
লোকেরা যখন এই চ্যালেঞ্জের সাথে মজা করে তবে এটি সবসময়ই ভাল a আপনার কয়েকটি কোডের দিকে তাকিয়ে আমি লক্ষ্য করেছি যে ret immনির্দেশটি ভুল ( এখানে দেখুন ) এবং আপনি 0xffগোষ্ঠীটি মিস করছেন । যদিও আমি আপনার ত্রুটি বার্তাগুলি পছন্দ করি: ফেলে দিন "তাত্ক্ষণিক মান কোনও মান সংরক্ষণ করতে পারে না, retard";
অনুলিপি করুন

পরীক্ষার প্রোগ্রামটির সাথে আমাদের দুটি প্রধান সমস্যা ছিল: 1) বিভাজন - যখন কোনও কল আসে তখন আমরা সিএসকে স্ট্যাকের উপর চাপ দিচ্ছিলাম ... পরীক্ষার প্রোগ্রামের একটি কাজ এটি পছন্দ করে না। 2) পরীক্ষা প্রোগ্রামটি আশা করেছিল যে আমাদের স্মৃতিশক্তি শূন্যে শুরু হবে। যাইহোক, আমাদের অনেক মজা ছিল, পোস্ট করার জন্য অনেক ধন্যবাদ!
ডেভ সি

আপনি এখানে কোনও ভুল করেছেন: নিকটবর্তী লাফ ( 0xE8 ) নিবন্ধটি চাপবেনcs না
অনুলিপি করুন

সমস্যা হবে, ভাল ধরা! আপনি 8086 এর সাথে খুব অভিজ্ঞ বলে মনে করছেন, আপনি কি এটির জন্য প্রোগ্রাম করেছিলেন?
ডেভ সি

1
আমি আসলে আমার নিজের দ্বারা একটি x86 এমুলেটর প্রকল্পে কাজ করছি। এটি ফ্রিডো বেশ ভাল চলছে এবং আমি বর্তমানে পুরো 32 বিট সাপোর্টে কাজ করছি; কেবল এখানে পোস্ট করেননি কারণ এটি অন্যান্য পোস্টারের পক্ষে উপযুক্ত নাও হতে পারে (এবং উত্স কোডটি কিছুটা গণ্ডগোল হয়েছে)।
অনুলিপি করুন

28

সি

দুর্দান্ত চ্যালেঞ্জ এবং আমার প্রথমটি। চ্যালেঞ্জটি আমাকে এতটা আগ্রহী করেছিল বলেই আমি একটি অ্যাকাউন্ট তৈরি করেছি। নীচের দিকটি হ'ল আমি যখন আসল, অর্থ প্রদান, প্রোগ্রামিংয়ের কাজ করার চেষ্টা করছিলাম তখন আমি চ্যালেঞ্জটি ভাবতে ভাবতে পারি না।

আমি একটি সম্পূর্ণ 8086 এমুলেশন চলতে বাধ্য হতে বোধ করি তবে এটি অন্য চ্যালেঞ্জ ;-)

কোডটি এএনএসআই-সিতে লেখা হয়েছে, সুতরাং কেবল .c ফাইলগুলি একসাথে সংকলন / লিঙ্ক করুন, কোডগল্ফ বাইনারিটিতে পাস করুন এবং যান।

উত্স জিপ করা

এখানে চিত্র বর্ণনা লিখুন


ভাল কাজ রিচটিএক্স!
ডেভ সি

ধন্যবাদ ডেভ তুমিও. আমি শুরু করার সাথে সাথে কোডটি যতটা সম্ভব ছোট করার প্রত্যাশা বুঝতে পারি নি, তবে এটি এখনও একটি চ্যালেঞ্জ ছিল।
রিচটিএক্স

ক্যারি পতাকাটি কীভাবে কাজ করে তা নির্ধারণ করতে আমি আপনার কোডটি দেখেছিলাম।
লুসার droog

লিঙ্কটি আমার জন্য ডাউন।
Tyilo

25

সি ++ 1064 লাইন

চমত্কার প্রকল্প। আমি বহু বছর আগে একটি ইন্টেলিভিশন এমুলেটর করেছি , সুতরাং আমার বিট-বিংং পেশীগুলি আবার ফ্লেক্স করা দুর্দান্ত ছিল।

প্রায় এক সপ্তাহ পরিশ্রমের পরে, যখন এই ঘটনাটি ঘটে তখন আমি বেশি উত্তেজিত হতে পারি না:

.........
╤╤╤╤╤╤╤╤╤╤╤╤╤╤
? 0123456789; @ ABCDEFGHIJKLMNOPQRSTUVWXYZ [\] ^: _ `ABCDEFGHIJKLMNOPQRSTUVWXYZ {|} ~


################################################## ##############################
################################################## ######################
    0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

    0 1 4 9 ♠ a ♣ b ♠ cd ♦ f ☺h `§☺b, ♦ d E f` ♠ i ↓ ♣ b 8 ♠ e Y h ↑ ♦ b = ☺f

    2 3 4 5 6 7 8 9 a ☻a ☻aa ♥ a ♦ a ♣ a ♣ aa আ আব ☺b ☻b ♥ বি ♦ বি ♣ বি ♣ বি বি বি বি
 সি ☺সি ☻সি ♥ সি ♦ সি ♣ সি ♠ সি সিসি সিডি ☺ড ☻ ডি ♦ ডি ♦ ডি ♣ ডি d ডি ডি দে ☺ ই ☻ ই ♥ ই ♦ ই ♣ ই ♠ ই
 ee ef ☺f ☻f ☻f ♦ f ♦ f ♣ f ♠ f ff fg ☺g ☻g ♥ g ♦ g ♣ g ♠ gggh ☺h ☻h ☻h ☻h
h ♦ h ♣ h ♣ h hh hi ☺i ♥ i ♦ i ♣ i ♠ ii ii `

পরে একটু ডিবাগিং এবং ... শাজাম! এখানে চিত্র বর্ণনা লিখুন

এছাড়াও, আমি ৮০৩66 এক্সটেনশন ছাড়াই মূল পরীক্ষার প্রোগ্রামটি পুনর্নির্মাণ করেছি, যেহেতু আমি আমার এমুলেটরটি সত্যই ৮০8686 এর সাথে তৈরি করতে চেয়েছি এবং কোনও অতিরিক্ত নির্দেশাবলীতে ফাজ না দিয়ে। কোডের এখানে সরাসরি লিঙ্ক: জিপ ফাইল

ঠিক আছে আমি এই সাথে খুব মজা করছি। আমি মেমরি এবং স্ক্রিন পরিচালনা শুরু করেছিলাম, এবং এখন স্ক্রিন বাফারকে লেখা হয় তখন পর্দা আপডেট হয়। আমি একটি ভিডিও তৈরি করেছি :)

http://www.youtube.com/watch?v=qnAssaTpmnA

আপডেটগুলি: সেগমেন্টিংয়ের প্রথম পাসটি রয়েছে few

অবিচ্ছিন্ন বিঘ্নিত হ্যান্ডলিং যুক্ত করেছে। খুব প্রাথমিক। তবে আমি স্ট্রিং মুদ্রণের জন্য 21 এন্টি বাস্তবায়ন করেছি। পরীক্ষার উত্সটিতে কয়েকটি লাইন যুক্ত হয়েছে এবং সেটিও আপলোড হয়েছে।

start:
    sti
    mov ah, 9
    mov dx, joetext
    int 21h
...

joetext:
    db 'This was printed by int 21h$', 0

এখানে চিত্র বর্ণনা লিখুন

কারও কাছে যদি মোটামুটি সহজ সংসদ কোড থাকে যা বিভাগগুলি পরীক্ষা করে দেখতে পারে, আমি এটির সাথে খেলতে চাই।

আমি এটি কতদূর নিতে চাই তা জানার চেষ্টা করছি। সম্পূর্ণ সিপিইউ অনুকরণ? ভিজিএ মোড? এখন আমি ডসবক্স লিখছি।

12/6: এটি দেখুন, ভিজিএ মোড!

এখানে চিত্র বর্ণনা লিখুন


নিবন্ধকরণের প্রয়োজন নেই এমন কোনও ফ্রি সাইটে আপনি আপনার কোড পোস্ট করতে পারেন এমন কোনও সুযোগ? ধন্যবাদ
ডেভ সি

ডিও আমি বুঝতে পারিনি এটি নিবন্ধকরণের প্রয়োজন। এর জন্যে দুঃখিত! আমি আজ রাতে বাড়ি এলে তা করার চেষ্টা করব।
জোফিশ

@ ডেভিসি, সর্বশেষ সম্পাদনা পরীক্ষা করুন।
জোফিশ

আমি ভাবছি উটফোরথ বন্দর আছে কিনা? এটি বিভাগগুলিকে পরীক্ষা করবে।
লুসার droog

সেটা খুবই ভালো! আবার +1। BTW, সেখানে হয় উট বের একজন 8086 বন্দর bradrodriguez.com/papers/index.html
লুসার droog

25

সি ++ - 4455 লাইন

এবং না, আমি কেবল প্রশ্নের প্রয়োজনীয়তাগুলি করি নি। আমি এনটিআরআই 8086 করেছি, 16 টি আগে কখনও জানে না ওপোকড আগে including রিনিগনে এই ওপকোডগুলি খুঁজে বের করতে সহায়তা করেছিল।

https://github.com/Alegend45/IBM5150


4455-লাইন ফাইলটি কোথায়? ওহ, আমি এটি খুঁজে পেয়েছি। The #include "cpu.h"দেখতে কঠিন।
লুসার droog

2
(ডাব্লু) পবিত্র স্যুইচ বিবৃতি!
লুসার droog

হ্যাঁ, এটি আরও খারাপ হতে চলেছে, যেহেতু আমি এনইসি ভি 20 সমর্থনও অন্তর্ভুক্ত করব।
দারিয়াস গোয়াদ

আমি রিনিগনের ব্লগটি দেখেছি । এই অতিরিক্ত অপকডগুলি সম্পর্কে কিছুই খুঁজে পাচ্ছে না। এটি কোথাও অনলাইন?
luser droog

1
তিনি কিছুক্ষণের মধ্যে নিজের ব্লগ আপডেট করেননি। তিনি EFNET এ # ibm5150 তে আছেন, যদিও আপনি সেখানে তাকে জিজ্ঞাসা করতে পারেন।
দারিয়াস গোয়াদ

20

জাভাস্ক্রিপ্ট - 4,404 লাইন

আমার নিজের এমুলেটারের জন্য তথ্য নিয়ে গবেষণা করার সময় আমি এই পোস্টে হোঁচট খেয়েছি। এই কোডগল্ফ পোস্টটি আমার কাছে একেবারে অমূল্য। উদাহরণস্বরূপ প্রোগ্রাম এবং সম্পর্কিত সমাবেশটি সহজেই ডিবাগ করা এবং কী ঘটছে তা দেখতে সক্ষম করে।

ধন্যবাদ!!!

এবং এখানে আমার জাভাস্ক্রিপ্ট 8086 এমুলেটর প্রথম সংস্করণ।

সম্পন্ন রান

বৈশিষ্ট্য:

  • এই চ্যালেঞ্জের জন্য প্রয়োজনীয় সমস্ত ওপকোড প্লাস কিছু অতিরিক্ত যা তারা কোড করা সহজ enough
  • আংশিক কার্যকরী পাঠ্য মোড (80x25) ভিডিও (এখনও কোনও বাধা নেই)
  • স্ট্যাকিং কাজ
  • বেসিক (অবিচ্ছিন্ন) মেমরি
  • সুন্দর শালীন ডিবাগিং (এটি থাকতে হবে)
  • কোড পৃষ্ঠা 437 ফন্ট সেট বিটম্যাপ উপস্থাপনা থেকে গতিশীল লোড করে

ডেমো

আমার কাছে অনলাইনে একটি ডেমো রয়েছে, এটি নির্দ্বিধায় খেলতে পারেন আপনি যদি বাগগুলি পান তবে আমাকে জানাতে :)

http://js86emu.chadrempp.com/

কোডগল্ফ প্রোগ্রাম চালানোর জন্য

1) সেটিংস বোতামে ক্লিক করুন

এখানে চিত্র বর্ণনা লিখুন

2) তারপরে লোডটি ক্লিক করুন (আপনি প্রোগ্রামের মাধ্যমে পদক্ষেপের মতো এখানে ডিবাগ বিকল্পগুলি নিয়ে খেলতে পারেন)। কোডগল্ফ প্রোগ্রামটি এই মুহূর্তে একমাত্র উপলভ্য, আমি আরও অনলাইন পাওয়ার জন্য কাজ করছি।

এখানে চিত্র বর্ণনা লিখুন

উৎস

এখানে সম্পূর্ণ উত্স। https://github.com/crempp/js86emu

আমি এখানে ৮০8686 অনুকরণের সাহসগুলি এখানে আটকে দেওয়ার চেষ্টা করেছি (ডোরকনব দ্বারা প্রস্তাবিত হিসাবে) তবে এটি চরিত্রের সীমা ছাড়িয়ে গেছে ("দেহটি 30000 অক্ষরে সীমাবদ্ধ; আপনি 158,272 প্রবেশ করেছেন")।

আমি এখানে যে কোডটি পেস্ট করতে যাচ্ছি তার একটি দ্রুত লিঙ্ক এখানে দেওয়া হয়েছে - https://github.com/crempp/js86emu/blob/39dbcb7106a0aaf59e003cd7f722acb4b6923d87/src/js/emu/cpus/8086.js

*Edit - updated for new demo and repo location


বাহ!! দারুন! তবে কোডটি যদি আপনার পোস্টে নিজেই থাকে তবে এটি আদর্শ হবে, কারণ আমরা আমাদের পোস্টগুলিকে স্বয়ংসম্পূর্ণ থাকতে পছন্দ করি।
ডুরকনব

@ ডুরকনব, আমি নিশ্চিত যে আমি বুঝতে পেরেছি না। আপনি কি পোস্টে 4,400 লাইনের কোড ইনলাইন পোস্ট করতে চান?
ক্রিম্পে

উম্ম ... আমি বুঝতে পারি নি যে এটি দীর্ঘ ছিল । এটি কি সর্বোচ্চ অক্ষরের সীমাতে ফিট করে? যদি তা হয় তবে হ্যাঁ, আপনার পোস্টটি স্বনির্ভর থাকলে এটি দুর্দান্ত হবে। ধন্যবাদ! :-)
Doorknob

13

জাভা

আমি এত দিন ধরে এই চ্যালেঞ্জটি করতে চেয়েছিলাম এবং অবশেষে আমি এটি করার জন্য সময় নিয়েছি। এটি এখন পর্যন্ত একটি দুর্দান্ত অভিজ্ঞতা হয়েছে এবং আমি অবশেষে এটি সম্পন্ন করেছি বলে জানাতে পেরে আমি গর্বিত।

পরীক্ষা প্রোগ্রাম আউটপুট

উৎস

সোটা কোডটি গিটহাব-এ NeatMonster / Intel8086 এ উপলব্ধ । আমি হোলি ৮০86 টি পারিবারিক ব্যবহারকারীর ম্যানুয়ালটির সাহায্যে বেশ কিছু নথিভুক্ত করার চেষ্টা করেছি ।

আমি সমস্ত অনুপস্থিত ওপকোড এবং বৈশিষ্ট্যগুলি বাস্তবায়নের উদ্দেশ্যে নিয়েছি, সুতরাং আপনি এই চ্যালেঞ্জের জন্য প্রয়োজনীয় সংস্করণগুলির সাথে সংস্করণটির জন্য 1.0 রিলিজ পরীক্ষা করে দেখতে চাইতে পারেন ।

@ কপি কে অনেক ধন্যবাদ!


13

কমন লিস্প - 580 লোক (442 ডাব্লু / ও ফাঁকা লাইন এবং মন্তব্য)

কমন লিস্প শিখার অজুহাত হিসাবে আমি এই চ্যালেঞ্জটি ব্যবহার করেছি। ফলাফল এখানে:

;;; Program settings

(defparameter *disasm* nil "Whether to disassemble")

(defmacro disasm-instr (on-disasm &body body)
  `(if *disasm*
       ,on-disasm
       (progn ,@body)))

;;; State variables

(defparameter *ram* (make-array (* 64 1024) :initial-element 0 :element-type '(unsigned-byte 8)) "Primary segment")
(defparameter *stack* (make-array (* 64 1024) :initial-element 0 :element-type '(unsigned-byte 8)) "Stack segment")
(defparameter *flags* '(:cf 0 :sf 0 :zf 0) "Flags")
(defparameter *registers* '(:ax 0 :bx 0 :cx 0 :dx 0 :bp 0 :sp #x100 :si 0 :di 0) "Registers")
(defparameter *ip* 0 "Instruction pointer")
(defparameter *has-carried* nil "Whether the last wraparound changed the value")
(defparameter *advance* 0 "Bytes to advance IP by after an operation")

;;; Constants

(defconstant +byte-register-to-word+ '(:al (:ax nil) :ah (:ax t) :bl (:bx nil) :bh (:bx t) :cl (:cx nil) :ch (:cx t) :dl (:dx nil) :dh (:dx t)) "Mapping from byte registers to word registers")
(defconstant +bits-to-register+ '(:ax :cx :dx :bx :sp :bp :si :di) "Mapping from index to word register")
(defconstant +bits-to-byte-register+ '(:al :cl :dl :bl :ah :ch :dh :bh) "Mapping from index to byte register")

;;; Constant mappings

(defun bits->word-reg (bits)
  (elt +bits-to-register+ bits))

(defun bits->byte-reg (bits)
  (elt +bits-to-byte-register+ bits))

(defun address-for-r/m (mod-bits r/m-bits)
  (disasm-instr
      (if (and (= mod-bits #b00) (= r/m-bits #b110))
      (list :disp (peek-at-word))
      (case r/m-bits
        (#b000 (list :base :bx :index :si))
        (#b001 (list :base :bx :index :di))
        (#b010 (list :base :bp :index :si))
        (#b011 (list :base :bp :index :di))
        (#b100 (list :index :si))
        (#b101 (list :index :di))
        (#b110 (list :base :bp))
        (#b111 (list :base :bx))))
    (if (and (= mod-bits #b00) (= r/m-bits #b110))
    (peek-at-word)
    (case r/m-bits
      (#b000 (+ (register :bx) (register :si)))
      (#b001 (+ (register :bx) (register :di)))
      (#b010 (+ (register :bp) (register :si)))
      (#b011 (+ (register :bp) (register :di)))
      (#b100 (register :si))
      (#b101 (register :di))
      (#b110 (register :bp))
      (#b111 (register :bx))))))

;;; Convenience functions

(defun reverse-little-endian (low high)
  "Reverse a little-endian number."
  (+ low (ash high 8)))

(defun negative-p (value is-word)
  (or (if is-word (>= value #x8000) (>= value #x80)) (< value 0)))

(defun twos-complement (value is-word)
  (if (negative-p value is-word)
      (- (1+ (logxor value (if is-word #xffff #xff))))
      value))

(defun wrap-carry (value is-word)
  "Wrap around an carried value."
  (let ((carry (if is-word (>= value #x10000) (>= value #x100))))
    (setf *has-carried* carry)
    (if carry
    (if is-word (mod value #x10000) (mod value #x100))
    value)))

;;; setf-able locations

(defun register (reg)
  (disasm-instr reg
    (getf *registers* reg)))

(defun set-reg (reg value)
  (setf (getf *registers* reg) (wrap-carry value t)))

(defsetf register set-reg)

(defun byte-register (reg)
  (disasm-instr reg
    (let* ((register-to-word (getf +byte-register-to-word+ reg)) (word (first register-to-word)))
      (if (second register-to-word)
      (ash (register word) -8)
      (logand (register word) #x00ff)))))

(defun set-byte-reg (reg value)
  (let* ((register-to-word (getf +byte-register-to-word+ reg)) (word (first register-to-word)) (wrapped-value (wrap-carry value nil)))
    (if (second register-to-word)
    (setf (register word) (+ (ash wrapped-value 8) (logand (register word) #x00ff)))
    (setf (register word) (+ wrapped-value (logand (register word) #xff00))))))

(defsetf byte-register set-byte-reg)

(defun flag (name)
  (getf *flags* name))

(defun set-flag (name value)
  (setf (getf *flags* name) value))

(defsetf flag set-flag)

(defun flag-p (name)
  (= (flag name) 1))

(defun set-flag-p (name is-set)
  (setf (flag name) (if is-set 1 0)))

(defsetf flag-p set-flag-p)

(defun byte-in-ram (location segment)
  "Read a byte from a RAM segment."
  (elt segment location))

(defsetf byte-in-ram (location segment) (value)
  "Write a byte to a RAM segment."
  `(setf (elt ,segment ,location) ,value))

(defun word-in-ram (location segment)
  "Read a word from a RAM segment."
  (reverse-little-endian (elt segment location) (elt segment (1+ location))))

(defsetf word-in-ram (location segment) (value)
  "Write a word to a RAM segment."
  `(progn
     (setf (elt ,segment ,location) (logand ,value #x00ff))
     (setf (elt ,segment (1+ ,location)) (ash (logand ,value #xff00) -8))))

(defun indirect-address (mod-bits r/m-bits is-word)
  "Read from an indirect address."
  (disasm-instr
      (if (= mod-bits #b11) (register (if is-word (bits->word-reg r/m-bits) (bits->byte-reg r/m-bits)))
      (let ((base-index (address-for-r/m mod-bits r/m-bits)))
        (unless (getf base-index :disp)
          (setf (getf base-index :disp)
            (case mod-bits
              (#b00 0)
              (#b01 (next-instruction))
              (#b10 (next-word)))))
        base-index))
    (let ((address-base (address-for-r/m mod-bits r/m-bits)))
      (case mod-bits
    (#b00 (if is-word (word-in-ram address-base *ram*) (byte-in-ram address-base *ram*)))
    (#b01 (if is-word (word-in-ram (+ address-base (peek-at-instruction)) *ram*) (byte-in-ram (+ address-base (peek-at-instruction)) *ram*)))
    (#b10 (if is-word (word-in-ram (+ address-base (peek-at-word)) *ram*) (byte-in-ram (+ address-base (peek-at-word)) *ram*)))
    (#b11 (if is-word (register (bits->word-reg r/m-bits)) (byte-register (bits->byte-reg r/m-bits))))))))

(defsetf indirect-address (mod-bits r/m-bits is-word) (value)
  "Write to an indirect address."
  `(let ((address-base (address-for-r/m ,mod-bits ,r/m-bits)))
    (case ,mod-bits
      (#b00 (if ,is-word (setf (word-in-ram address-base *ram*) ,value) (setf (byte-in-ram address-base *ram*) ,value)))
      (#b01 (if ,is-word (setf (word-in-ram (+ address-base (peek-at-instruction)) *ram*) ,value) (setf (byte-in-ram (+ address-base (peek-at-instruction)) *ram*) ,value)))
      (#b10 (if ,is-word (setf (word-in-ram (+ address-base (peek-at-word)) *ram*) ,value) (setf (byte-in-ram (+ address-base (peek-at-word)) *ram*) ,value)))
      (#b11 (if ,is-word (setf (register (bits->word-reg ,r/m-bits)) ,value) (setf (byte-register (bits->byte-reg ,r/m-bits)) ,value))))))

;;; Instruction loader

(defun load-instructions-into-ram (instrs)
  (setf *ip* 0)
  (setf (subseq *ram* 0 #x7fff) instrs)
  (length instrs))

(defun next-instruction ()
  (incf *ip*)
  (elt *ram* (1- *ip*)))

(defun next-word ()
  (reverse-little-endian (next-instruction) (next-instruction)))

(defun peek-at-instruction (&optional (forward 0))
  (incf *advance*)
  (elt *ram* (+ *ip* forward)))

(defun peek-at-word ()
  (reverse-little-endian (peek-at-instruction) (peek-at-instruction 1)))

(defun advance-ip ()
  (incf *ip* *advance*)
  (setf *advance* 0))

(defun advance-ip-ahead-of-indirect-address (mod-bits r/m-bits)
  (cond
    ((or (and (= mod-bits #b00) (= r/m-bits #b110)) (= mod-bits #b10)) 2)
    ((= mod-bits #b01) 1)
    (t 0)))

(defun next-instruction-ahead-of-indirect-address (mod-bits r/m-bits)
  (let ((*ip* *ip*))
    (incf *ip* (advance-ip-ahead-of-indirect-address mod-bits r/m-bits))
    (incf *advance*)
    (next-instruction)))

(defun next-word-ahead-of-indirect-address (mod-bits r/m-bits)
  (let ((*ip* *ip*))
    (incf *ip* (advance-ip-ahead-of-indirect-address mod-bits r/m-bits))
    (incf *advance* 2)
    (next-word)))

;;; Memory access

(defun read-word-from-ram (loc &optional (segment *ram*))
  (word-in-ram loc segment))

(defun write-word-to-ram (loc word &optional (segment *ram*))
  (setf (word-in-ram loc segment) word))

(defun push-to-stack (value)
  (decf (register :sp) 2)
  (write-word-to-ram (register :sp) value *stack*))

(defun pop-from-stack ()
  (incf (register :sp) 2)
  (read-word-from-ram (- (register :sp) 2) *stack*))

;;; Flag effects

(defun set-cf-on-add (value)
  (setf (flag-p :cf) *has-carried*)
  value)

(defun set-cf-on-sub (value1 value2)
  (setf (flag-p :cf) (> value2 value1))
  (- value1 value2))

(defun set-sf-on-op (value is-word)
  (setf (flag-p :sf) (negative-p value is-word))
  value)

(defun set-zf-on-op (value)
  (setf (flag-p :zf) (= value 0))
  value)

;;; Operations

;; Context wrappers

(defun with-one-byte-opcode-register (opcode fn)
  (let ((reg (bits->word-reg (mod opcode #x08))))
    (funcall fn reg)))

(defmacro with-mod-r/m-byte (&body body)
  `(let* ((mod-r/m (next-instruction)) (r/m-bits (logand mod-r/m #b00000111)) (mod-bits (ash (logand mod-r/m #b11000000) -6)) (reg-bits (ash (logand mod-r/m #b00111000) -3)))
     ,@body))

(defmacro with-in-place-mod (dest mod-bits r/m-bits &body body)
  `(progn
     ,@body
     (when (equal (car ',dest) 'indirect-address)
       (decf *advance* (advance-ip-ahead-of-indirect-address ,mod-bits ,r/m-bits)))))

;; Templates

(defmacro mov (src dest)
  `(disasm-instr (list "mov" :src ,src :dest ,dest)
     (setf ,dest ,src)))

(defmacro xchg (op1 op2)
  `(disasm-instr (list "xchg" :op1 ,op1 :op2 ,op2)
     (rotatef ,op1 ,op2)))

(defmacro inc (op1 is-word)
  `(disasm-instr (list "inc" :op1 ,op1)
     (set-sf-on-op (set-zf-on-op (incf ,op1)) ,is-word)))

(defmacro dec (op1 is-word)
  `(disasm-instr (list "dec" :op1 ,op1)
     (set-sf-on-op (set-zf-on-op (decf ,op1)) ,is-word)))

;; Group handling

(defmacro parse-group-byte-pair (opcode operation mod-bits r/m-bits)
  `(,operation ,mod-bits ,r/m-bits (oddp ,opcode)))

(defmacro parse-group-opcode (&body body)
  `(with-mod-r/m-byte
     (case reg-bits
       ,@body)))

;; One-byte opcodes on registers

(defun clear-carry-flag ()
  (disasm-instr '("clc")
    (setf (flag-p :cf) nil)))

(defun set-carry-flag ()
  (disasm-instr '("stc")
    (setf (flag-p :cf) t)))

(defun push-register (reg)
  (disasm-instr (list "push" :src reg)
    (push-to-stack (register reg))))

(defun pop-to-register (reg)
  (disasm-instr (list "pop" :dest reg)
    (setf (register reg) (pop-from-stack))))

(defun inc-register (reg)
  (inc (register reg) t))

(defun dec-register (reg)
  (dec (register reg) t))

(defun xchg-register (reg)
  (disasm-instr (if (eql reg :ax) '("nop") (list "xchg" :op1 :ax :op2 reg))
    (xchg (register :ax) (register reg))))

(defun mov-byte-to-register (opcode)
  (let ((reg (bits->byte-reg (mod opcode #x08))))
    (mov (next-instruction) (byte-register reg))))

(defun mov-word-to-register (reg)
  (mov (next-word) (register reg)))

;; Flow control

(defun jmp-short ()
  (disasm-instr (list "jmp" :op1 (twos-complement (next-instruction) nil))
    (incf *ip* (twos-complement (next-instruction) nil))))

(defmacro jmp-short-conditionally (opcode condition mnemonic)
  `(let ((disp (next-instruction)))
     (if (evenp ,opcode)
       (disasm-instr (list (concatenate 'string "j" ,mnemonic) :op1 (twos-complement disp nil))
     (when ,condition
       (incf *ip* (twos-complement disp nil))))
       (disasm-instr (list (concatenate 'string "jn" ,mnemonic) :op1 (twos-complement disp nil))
     (unless ,condition
       (incf *ip* (twos-complement disp nil)))))))

(defun call-near ()
  (disasm-instr (list "call" :op1 (twos-complement (next-word) t))
    (push-to-stack (+ *ip* 2))
    (incf *ip* (twos-complement (next-word) t))))

(defun ret-from-call ()
  (disasm-instr '("ret")
    (setf *ip* (pop-from-stack))))

;; ALU

(defmacro parse-alu-opcode (opcode operation)
  `(let ((mod-8 (mod ,opcode 8)))
     (case mod-8
       (0
    (with-mod-r/m-byte
      (,operation (byte-register (bits->byte-reg reg-bits)) (indirect-address mod-bits r/m-bits nil) nil mod-bits r/m-bits)))
       (1
    (with-mod-r/m-byte
      (,operation (register (bits->word-reg reg-bits)) (indirect-address mod-bits r/m-bits t) t mod-bits r/m-bits)))
       (2
    (with-mod-r/m-byte
      (,operation (indirect-address mod-bits r/m-bits nil) (byte-register (bits->byte-reg reg-bits)) nil)))
       (3
    (with-mod-r/m-byte
      (,operation (indirect-address mod-bits r/m-bits t) (register (bits->word-reg reg-bits)) t)))
       (4
    (,operation (next-instruction) (byte-register :al) nil))
       (5
    (,operation (next-word) (register :ax) t)))))

(defmacro add-without-carry (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "add" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (set-cf-on-add (incf ,dest ,src)) ,is-word)))))

(defmacro add-with-carry (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "adc" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (set-cf-on-add (incf ,dest (+ ,src (flag :cf)))) ,is-word)))))

(defmacro sub-without-borrow (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "sub" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (let ((src-value ,src))
     (set-zf-on-op (set-sf-on-op (set-cf-on-sub (+ (decf ,dest src-value) src-value) src-value) ,is-word))))))

(defmacro sub-with-borrow (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "sbb" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (let ((src-plus-cf (+ ,src (flag :cf))))
     (set-zf-on-op (set-sf-on-op (set-cf-on-sub (+ (decf ,dest src-plus-cf) src-plus-cf) src-plus-cf) ,is-word))))))

(defmacro cmp-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "cmp" :src ,src :dest ,dest)
     (set-zf-on-op (set-sf-on-op (set-cf-on-sub ,dest ,src) ,is-word))))

(defmacro and-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "and" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (setf ,dest (logand ,src ,dest)) ,is-word))
       (setf (flag-p :cf) nil))))

(defmacro or-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "or" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (setf ,dest (logior ,src ,dest)) ,is-word))
       (setf (flag-p :cf) nil))))

(defmacro xor-operation (src dest is-word &optional mod-bits r/m-bits)
  `(disasm-instr (list "xor" :src ,src :dest ,dest)
     (with-in-place-mod ,dest ,mod-bits ,r/m-bits
       (set-zf-on-op (set-sf-on-op (setf ,dest (logxor ,src ,dest)) ,is-word))
       (setf (flag-p :cf) nil))))

(defmacro parse-group1-byte (opcode operation mod-bits r/m-bits)
  `(case (mod ,opcode 4)
    (0 (,operation (next-instruction-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits nil) nil mod-bits r/m-bits))
    (1 (,operation (next-word-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits t) t mod-bits r/m-bits))
    (3 (,operation (twos-complement (next-instruction-ahead-of-indirect-address ,mod-bits ,r/m-bits) nil) (indirect-address ,mod-bits ,r/m-bits t) t mod-bits r/m-bits))))

(defmacro parse-group1-opcode (opcode)
  `(parse-group-opcode
     (0 (parse-group1-byte ,opcode add-without-carry mod-bits r/m-bits))
     (1 (parse-group1-byte ,opcode or-operation mod-bits r/m-bits))
     (2 (parse-group1-byte ,opcode add-with-carry mod-bits r/m-bits))
     (3 (parse-group1-byte ,opcode sub-with-borrow mod-bits r/m-bits))
     (4 (parse-group1-byte ,opcode and-operation mod-bits r/m-bits))
     (5 (parse-group1-byte ,opcode sub-without-borrow mod-bits r/m-bits))
     (6 (parse-group1-byte ,opcode xor-operation mod-bits r/m-bits))
     (7 (parse-group1-byte ,opcode cmp-operation mod-bits r/m-bits))))

;; Memory and register mov/xchg

(defun xchg-memory-register (opcode)
  (let ((is-word (oddp opcode)))
    (with-mod-r/m-byte
      (if is-word
      (xchg (register (bits->word-reg reg-bits)) (indirect-address mod-bits r/m-bits is-word))
      (xchg (byte-register (bits->byte-reg reg-bits)) (indirect-address mod-bits r/m-bits is-word))))))

(defmacro mov-immediate-to-memory (mod-bits r/m-bits is-word)
  `(if ,is-word
       (mov (next-word-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits t))
       (mov (next-instruction-ahead-of-indirect-address ,mod-bits ,r/m-bits) (indirect-address ,mod-bits ,r/m-bits nil))))

(defmacro parse-group11-opcode (opcode)
  `(parse-group-opcode
     (0 (parse-group-byte-pair ,opcode mov-immediate-to-memory mod-bits r/m-bits))))

(defmacro parse-mov-opcode (opcode)
  `(let ((mod-4 (mod ,opcode 4)))
     (with-mod-r/m-byte
       (case mod-4
     (0
      (mov (byte-register (bits->byte-reg reg-bits)) (indirect-address mod-bits r/m-bits nil)))
     (1
      (mov (register (bits->word-reg reg-bits)) (indirect-address mod-bits r/m-bits t)))
     (2
      (mov (indirect-address mod-bits r/m-bits nil) (byte-register (bits->byte-reg reg-bits))))
     (3
      (mov (indirect-address mod-bits r/m-bits t) (register (bits->word-reg reg-bits))))))))

;; Group 4/5 (inc/dec on EAs)

(defmacro inc-indirect (mod-bits r/m-bits is-word)
  `(inc (indirect-address ,mod-bits ,r/m-bits ,is-word) ,is-word))

(defmacro dec-indirect (mod-bits r/m-bits is-word)
  `(dec (indirect-address ,mod-bits ,r/m-bits ,is-word) ,is-word))

(defmacro parse-group4/5-opcode (opcode)
  `(parse-group-opcode
     (0 (parse-group-byte-pair ,opcode inc-indirect mod-bits r/m-bits))
     (1 (parse-group-byte-pair ,opcode dec-indirect mod-bits r/m-bits))))

;;; Opcode parsing

(defun in-paired-byte-block-p (opcode block)
  (= (truncate (/ opcode 2)) (/ block 2)))

(defun in-4-byte-block-p (opcode block)
  (= (truncate (/ opcode 4)) (/ block 4)))

(defun in-8-byte-block-p (opcode block)
  (= (truncate (/ opcode 8)) (/ block 8)))

(defun in-6-byte-block-p (opcode block)
  (and (= (truncate (/ opcode 8)) (/ block 8)) (< (mod opcode 8) 6)))

(defun parse-opcode (opcode)
  "Parse an opcode."
  (cond
    ((not opcode) (return-from parse-opcode nil))
    ((= opcode #xf4) (return-from parse-opcode '("hlt")))
    ((in-8-byte-block-p opcode #x40) (with-one-byte-opcode-register opcode #'inc-register))
    ((in-8-byte-block-p opcode #x48) (with-one-byte-opcode-register opcode #'dec-register))
    ((in-8-byte-block-p opcode #x50) (with-one-byte-opcode-register opcode #'push-register))
    ((in-8-byte-block-p opcode #x58) (with-one-byte-opcode-register opcode #'pop-to-register))
    ((in-8-byte-block-p opcode #x90) (with-one-byte-opcode-register opcode #'xchg-register))
    ((in-8-byte-block-p opcode #xb0) (mov-byte-to-register opcode))
    ((in-8-byte-block-p opcode #xb8) (with-one-byte-opcode-register opcode #'mov-word-to-register))
    ((= opcode #xf8) (clear-carry-flag))
    ((= opcode #xf9) (set-carry-flag))
    ((= opcode #xeb) (jmp-short))
    ((in-paired-byte-block-p opcode #x72) (jmp-short-conditionally opcode (flag-p :cf) "b"))
    ((in-paired-byte-block-p opcode #x74) (jmp-short-conditionally opcode (flag-p :zf) "z"))
    ((in-paired-byte-block-p opcode #x76) (jmp-short-conditionally opcode (or (flag-p :cf) (flag-p :zf)) "be"))
    ((in-paired-byte-block-p opcode #x78) (jmp-short-conditionally opcode (flag-p :sf) "s"))
    ((= opcode #xe8) (call-near))
    ((= opcode #xc3) (ret-from-call))
    ((in-6-byte-block-p opcode #x00) (parse-alu-opcode opcode add-without-carry))
    ((in-6-byte-block-p opcode #x08) (parse-alu-opcode opcode or-operation))
    ((in-6-byte-block-p opcode #x10) (parse-alu-opcode opcode add-with-carry))
    ((in-6-byte-block-p opcode #x18) (parse-alu-opcode opcode sub-with-borrow))
    ((in-6-byte-block-p opcode #x20) (parse-alu-opcode opcode and-operation))
    ((in-6-byte-block-p opcode #x28) (parse-alu-opcode opcode sub-without-borrow))
    ((in-6-byte-block-p opcode #x30) (parse-alu-opcode opcode xor-operation))
    ((in-6-byte-block-p opcode #x38) (parse-alu-opcode opcode cmp-operation))
    ((in-4-byte-block-p opcode #x80) (parse-group1-opcode opcode))
    ((in-4-byte-block-p opcode #x88) (parse-mov-opcode opcode))
    ((in-paired-byte-block-p opcode #x86) (xchg-memory-register opcode))
    ((in-paired-byte-block-p opcode #xc6) (parse-group11-opcode opcode))
    ((in-paired-byte-block-p opcode #xfe) (parse-group4/5-opcode opcode))))

;;; Main functions

(defun execute-instructions ()
  "Loop through loaded instructions."
  (loop
     for ret = (parse-opcode (next-instruction))
     until (equal ret '("hlt"))
     do (advance-ip)
     finally (return t)))

(defun disasm-instructions (instr-length)
  "Disassemble code."
  (loop
     for ret = (parse-opcode (next-instruction))
     collecting ret into disasm
     until (= *ip* instr-length)
     do (advance-ip)
     finally (return disasm)))

(defun loop-instructions (instr-length)
  (if *disasm*
      (disasm-instructions instr-length)
      (execute-instructions)))

(defun load-instructions-from-file (file)
  (with-open-file (in file :element-type '(unsigned-byte 8))
    (let ((instrs (make-array (file-length in) :element-type '(unsigned-byte 8) :initial-element 0 :adjustable t)))
      (read-sequence instrs in)
      instrs)))

(defun load-instructions (&key (file nil))
  (if file
      (load-instructions-from-file file)
      #()))

(defun print-video-ram (&key (width 80) (height 25) (stream t) (newline nil))
  (dotimes (line height)
    (dotimes (column width)
      (let ((char-at-cell (byte-in-ram (+ #x8000 (* line 80) column) *ram*)))
    (if (zerop char-at-cell)
        (format stream "~a" #\Space)
        (format stream "~a" (code-char char-at-cell)))))
    (if newline (format stream "~%"))))

(defun disasm (&key (file nil))
  (setf *disasm* t)
  (loop-instructions (load-instructions-into-ram (load-instructions :file file))))

(defun main (&key (file nil) (display nil) (stream t) (newline nil))
  (setf *disasm* nil)
  (loop-instructions (load-instructions-into-ram (load-instructions :file file)))
  (when display
    (print-video-ram :stream stream :newline newline)))

ইমাসে আউটপুটটি এখানে:

দুটি প্যান সহ ইমাস উইন্ডো, বামদিকে লিপ্প উত্সের একটি অংশ এবং ডানদিকে প্রয়োজনীয় সামগ্রী সহ REPL আউটপুট।

আমি তিনটি প্রধান বৈশিষ্ট্য হাইলাইট করতে চাই। যখন যেমন নির্দেশাবলী, বাস্তবায়ন এই কোড ম্যাক্রো প্রচুর ব্যবহার করে mov, xchgএবং artithmetic অপারেশন। প্রতিটি নির্দেশে একটি disasm-instrম্যাক্রো কল অন্তর্ভুক্ত থাকে । এটি রানটাইমের সময় একটি বৈশ্বিক চলক সেট ওভার ব্যবহার করে আসল কোডের সাথে বিচ্ছিন্নতা প্রয়োগ করে। আমি নিবন্ধ এবং অপ্রত্যক্ষ ঠিকানাগুলিতে মান লেখার জন্য ব্যবহৃত গন্তব্য-অজ্ঞাব্য পদ্ধতির বিশেষত গর্বিত। নির্দেশাবলী বাস্তবায়নকারী ম্যাক্রোগুলি গন্তব্যটির বিষয়ে চিন্তা করে না, যেহেতু উভয় সম্ভাবনার জন্য বিভক্ত ফর্মগুলি জেনেরিক setfকমন লিস্প ম্যাক্রোর সাথে কাজ করবে ।

কোডটি আমার গিটহাব রেপোতে পাওয়া যাবে । "কোডগল্ফ" শাখার সন্ধান করুন, কারণ আমি ইতিমধ্যে মাস্টার হিসাবে 8086 এর অন্যান্য বৈশিষ্ট্যগুলি প্রয়োগ করা শুরু করেছি। আমি ইতিমধ্যে এফএএলজিএস নিবন্ধকের পাশাপাশি ওভারফ্লো এবং সমতা পতাকাগুলি প্রয়োগ করেছি।

লজিকাল অপারেটরগুলির সংস্করণ 0x82এবং 0x83সংস্করণগুলি ৮০৮৮ এ নয় এটিতে তিনটি অপারেশন রয়েছে । এটি খুব দেরিতে ধরা পড়েছিল এবং এই ক্রিয়াকলাপগুলি সরিয়ে ফেলা বেশ গণ্ডগোল হবে।

আমি @ জাজাকে তার পাইথন সংস্করণের জন্য ধন্যবাদ জানাতে চাই, যা আমাকে এই উদ্যোগের প্রথম দিকে অনুপ্রাণিত করেছিল।


3
অবিশ্বাস্য প্রথম উত্তর! সাইটে আপনাকে স্বাগতম :)
ডিজেএমসিএমহেম

1
খুব সুন্দর ভাষা পছন্দ!
অনুলিপি করুন

12

সি - 319 348 লাইন

এটি আমার পোস্টস্ক্রিপ্ট প্রোগ্রামের সি বা আরও কম সংখ্যক সরাসরি অনুবাদ হয় অবশ্যই স্ট্যাকের ব্যবহারটি সুস্পষ্ট ভেরিয়েবলের সাথে প্রতিস্থাপন করা হয়। একটি নির্দেশের ক্ষেত্রগুলি ভেরিয়েবলগুলিতে বিভক্ত হয় o- নির্দেশিকা অপকোড বাইট, d- দিকনির্দেশ ক্ষেত্র, w- প্রস্থ ক্ষেত্র। যদি এটি "মোড-রেগ-আর / এম" নির্দেশনা থাকে তবে এমআর-আরএম বাইটটি পড়তে হবে struct rm r। রেগ এবং ডি / এম ক্ষেত্রগুলি ডিকোডিং দুটি ধাপে এগিয়ে যায়: ডেটাতে পয়েন্টার গণনা করা এবং একই ভেরিয়েবলটি পুনরায় ব্যবহার করে ডেটা লোড করা। সুতরাং এর মতো ADD AX,BXকোনও কিছুর জন্য , প্রথম এক্সটি হল কুড়াল থেকে পয়েন্টার এবং y হ'ল বিন্দুতে বিন্দু, তারপরে এক্সটি বিষয়বস্তু (কুঠার) এবং y এর বিষয়বস্তু (বিএক্স)। এই জাতীয় বিভিন্ন ধরণের জন্য চলক পুনরায় ব্যবহার করতে প্রচুর কাস্টিং প্রয়োজন।

অপকোড বাইট ফাংশন পয়েন্টারগুলির একটি টেবিল দিয়ে ডিকোড করা হয়। প্রতিটি ফাংশন বডি পুনঃব্যবহারযোগ্য টুকরা জন্য ম্যাক্রো ব্যবহার করে তৈরি করা হয়। DWম্যাক্রো সব opcode ফাংশন মধ্যে বর্তমান থাকে এবং decodes dএবং wথেকে ভেরিয়েবল oopcode বাইট। RMPম্যাক্রো "জনাব-RM" বাইট ডিকোডিং প্রথম পর্যায়ের প্রক্রিয়া সম্পন্ন করে এবং LDXYদ্বিতীয় পর্যায়ের সম্পাদন করে। অপকডগুলি যা ফলাফল সংরক্ষণ করে ফলাফলের pপয়েন্টারটি zধরে রাখতে ভেরিয়েবল এবং ফলাফলের মান ধরে রাখতে ভেরিয়েবল ব্যবহার করে। zমান গণনা করার পরে পতাকাগুলি গণনা করা হয়। INCএবং DECঅপারেশন জেনেরিক ব্যবহার করার পূর্বে পতাকা বহন সংরক্ষণ MATHFLAGSফাংশন (অংশ হিসেবে ADDবাSUB সাবম্যাক্রো) এবং ক্যারি সংরক্ষণের জন্য এটিকে পুনরুদ্ধার করুন।

সম্পাদনা: বাগ স্থির!
সম্পাদনা: প্রসারিত এবং মন্তব্য। trace==0ভিডিওটি ডাম্প করার সময় যখন এটি এখন একটি এএনএসআই-তে -0,0 কমান্ড আউটপুট করে। সুতরাং এটি আরও ভাল একটি বাস্তব প্রদর্শন অনুকরণ। BIGENDIANজিনিস (এমনকি কাজ করে নি) সরিয়ে ফেলা হয়েছে। এটি লিটল-এন্ডিয়ান বাইট ক্রমে কিছু জায়গায় নির্ভর করে, তবে আমি পরবর্তী সংশোধনীতে এটি ঠিক করার পরিকল্পনা করছি। মূলত, সমস্ত পয়েন্টার অ্যাক্সেসের জন্য get_এবং put_ফাংশনগুলির মধ্য দিয়ে যেতে হবে যা স্পষ্টতই (ডি) এলই ক্রমে বাইটগুলি রচনা করে।

#include<ctype.h>
#include<stdint.h>
#include<stdio.h>
#include<stdlib.h>
#include<string.h>
#include<sys/stat.h>
#include<unistd.h>
#define P printf
#define R return
#define T typedef
T intptr_t I; T uintptr_t U;
T short S; T unsigned short US;
T signed char C; T unsigned char UC; T void V;  // to make everything shorter
U o,w,d,f; // opcode, width, direction, extra temp variable (was initially for a flag, hence 'f')
U x,y,z;   // left operand, right operand, result
void *p;   // location to receive result
UC halt,debug=0,trace=0,reg[28],null[2],mem[0xffff]={ // operating flags, register memory, RAM
    1, (3<<6),        // ADD ax,ax
    1, (3<<6)+(4<<3), // ADD ax,sp
    3, (3<<6)+(4<<3), // ADD sp,ax
    0xf4 //HLT
};

// register declaration and initialization
#define H(_)_(al)_(ah)_(cl)_(ch)_(dl)_(dh)_(bl)_(bh)
#define X(_)_(ax)     _(cx)     _(dx)     _(bx)     _(sp)_(bp)_(si)_(di)_(ip)_(fl)
#define SS(_)_(cs)_(ds)_(ss)_(es)
#define HD(_)UC*_;      // half-word regs declared as unsigned char *
#define XD(_)US*_;      // full-word regs declared as unsigned short *
#define HR(_)_=(UC*)(reg+i++);      // init and increment by one
#define XR(_)_=(US*)(reg+i);i+=2;   // init and increment by two
H(HD)X(XD)SS(XD)V init(){I i=0;H(HR)i=0;X(XR)SS(XR)}    // declare and initialize register pointers
enum { CF=1<<0, PF=1<<2, AF=1<<4, ZF=1<<6, SF=1<<7, OF=1<<11 };

#define HP(_)P(#_ ":%02x ",*_);     // dump a half-word reg as zero-padded hex
#define XP(_)P(#_ ":%04x ",*_);     // dump a full-word reg as zero-padded hex
V dump(){ //H(HP)P("\n");
    P("\n"); X(XP)
    if(trace)P("%s %s %s %s ",*fl&CF?"CA":"NC",*fl&OF?"OV":"NO",*fl&SF?"SN":"NS",*fl&ZF?"ZR":"NZ");
    P("\n");  // ^^^ crack flag bits into strings ^^^
}

// get and put into memory in a strictly little-endian format
I get_(void*p,U w){R w? *(UC*)p + (((UC*)p)[1]<<8) :*(UC*)p;}
V put_(void*p,U x,U w){ if(w){ *(UC*)p=x; ((UC*)p)[1]=x>>8; }else *(UC*)p=x; }
// get byte or word through ip, incrementing ip
UC fetchb(){ U x = get_(mem+(*ip)++,0); if(trace)P("%02x(%03o) ",x,x); R x; }
US fetchw(){I w=fetchb();R w|(fetchb()<<8);}

T struct rm{U mod,reg,r_m;}rm;      // the three fields of the mod-reg-r/m byte
rm mrm(U m){ R(rm){ (m>>6)&3, (m>>3)&7, m&7 }; }    // crack the mrm byte into fields
U decreg(U reg,U w){    // decode the reg field, yielding a uintptr_t to the register (byte or word)
    if (w)R (U)((US*[]){ax,cx,dx,bx,sp,bp,si,di}[reg]);
    else R (U)((UC*[]){al,cl,dl,bl,ah,ch,dh,bh}[reg]); }
U rs(US*x,US*y){ R get_(x,1)+get_(y,1); }  // fetch and sum two full-words
U decrm(rm r,U w){      // decode the r/m byte, yielding uintptr_t
    U x=(U[]){rs(bx,si),rs(bx,di),rs(bp,si),rs(bp,di),get_(si,1),get_(di,1),get_(bp,1),get_(bx,1)}[r.r_m];
    switch(r.mod){ case 0: if (r.r_m==6) R (U)(mem+fetchw()); break;
                   case 1: x+=fetchb(); break;
                   case 2: x+=fetchw(); break;
                   case 3: R decreg(r.r_m,w); }
    R (U)(mem+x); }

// opcode helpers
    // set d and w from o
#define DW  if(trace){ P("%s:\n",__func__); } \
            d=!!(o&2); \
            w=o&1;
    // fetch mrm byte and decode, setting x and y as pointers to args and p ptr to dest
#define RMP rm r=mrm(fetchb());\
            x=decreg(r.reg,w); \
            y=decrm(r,w); \
            if(trace>1){ P("x:%d\n",x); P("y:%d\n",y); } \
            p=d?(void*)x:(void*)y;

    // fetch x and y values from x and y pointers
#define LDXY \
            x=get_((void*)x,w); \
            y=get_((void*)y,w); \
            if(trace){ P("x:%d\n",x); P("y:%d\n",y); }

    // normal mrm decode and load
#define RM  RMP LDXY

    // immediate to accumulator
#define IA x=(U)(p=w?(UC*)ax:al); \
           x=get_((void*)x,w); \
           y=w?fetchw():fetchb();

    // flags set by logical operators
#define LOGFLAGS  *fl=0; \
                  *fl |= ( (z&(w?0x8000:0x80))           ?SF:0) \
                       | ( (z&(w?0xffff:0xff))==0        ?ZF:0) ;

    // additional flags set by math operators
#define MATHFLAGS *fl |= ( (z&(w?0xffff0000:0xff00))     ?CF:0) \
                       | ( ((z^x)&(z^y)&(w?0x8000:0x80)) ?OF:0) \
                       | ( ((x^y^z)&0x10)                ?AF:0) ;

    // store result to p ptr
#define RESULT \
        if(trace)P(w?"->%04x ":"->%02x ",z); \
        put_(p,z,w);

// operators, composed with helpers in the opcode table below
    // most of these macros will "enter" with x and y already loaded with operands
#define PUSH(x) put_(mem+(*sp-=2),*(x),1)
#define POP(x) *(x)=get_(mem+(*sp+=2)-2,1)
#define ADD z=x+y; LOGFLAGS MATHFLAGS RESULT
#define ADC x+=(*fl&CF); ADD
#define SUB z=d?x-y:y-x; LOGFLAGS MATHFLAGS RESULT
#define SBB d?y+=*fl&CF:(x+=*fl&CF); SUB
#define CMP p=null; SUB
#define AND z=x&y; LOGFLAGS RESULT
#define  OR z=x|y; LOGFLAGS RESULT
#define XOR z=x^y; LOGFLAGS RESULT
#define INC(r) w=1; d=1; p=(V*)r; x=(S)*r; y=1; f=*fl&CF; ADD *fl=(*fl&~CF)|f;
#define DEC(r) w=1; d=1; p=(V*)r; x=(S)*r; y=1; f=*fl&CF; SUB *fl=(*fl&~CF)|f;
#define F(f) !!(*fl&f)
#define J(c) U cf=F(CF),of=F(OF),sf=F(SF),zf=F(ZF); y=(S)(C)fetchb(); \
                  if(trace)P("<%d> ", c); \
                  if(c)*ip+=(S)y;
#define JN(c) J(!(c))
#define IMM(a,b) rm r=mrm(fetchb()); \
            p=(void*)(y=decrm(r,w)); \
            a \
            x=w?fetchw():fetchb(); \
            b \
            d=0; \
            y=get_((void*)y,w); \
            if(trace){ P("x:%d\n",x); P("y:%d\n",y); } \
            if(trace){ P("%s ", (C*[]){"ADD","OR","ADC","SBB","AND","SUB","XOR","CMP"}[r.reg]); } \
            switch(r.reg){case 0:ADD break; \
                          case 1:OR break; \
                          case 2:ADC break; \
                          case 3:SBB break; \
                          case 4:AND break; \
                          case 5:SUB break; \
                          case 6:XOR break; \
                          case 7:CMP break; }
#define IMMIS IMM(w=0;,w=1;x=(S)(C)x;)
#define TEST z=x&y; LOGFLAGS MATHFLAGS
#define XCHG f=x;z=y; LDXY if(w){*(US*)f=y;*(US*)z=x;}else{*(UC*)f=y;*(UC*)z=x;}
#define MOV z=d?y:x; RESULT
#define MOVSEG
#define LEA RMP z=((UC*)y)-mem; RESULT
#define NOP
#define AXCH(r) x=(U)ax; y=(U)(r); w=1; XCHG
#define CBW *ax=(S)(C)*al;
#define CWD z=(I)(S)*ax; *dx=z>>16;
#define CALL x=w?fetchw():(S)(C)fetchb(); PUSH(ip); (*ip)+=(S)x;
#define WAIT
#define PUSHF PUSH(fl)
#define POPF POP(fl)
#define SAHF x=*fl; y=*ah; x=(x&~0xff)|y; *fl=x;
#define LAHF *ah=(UC)*fl;
#define mMOV if(d){ x=get_(mem+fetchw(),w); if(w)*ax=x; else*al=x; } \
             else { put_(mem+fetchw(),w?*ax:*al,w); }
#define MOVS
#define CMPS
#define STOS
#define LODS
#define SCAS
#define iMOVb(r) (*r)=fetchb();
#define iMOVw(r) (*r)=fetchw();
#define RET(v) POP(ip); if(v)*sp+=v*2;
#define LES
#define LDS
#define iMOVm if(w){iMOVw((US*)y)}else{iMOVb((UC*)y)}
#define fRET(v) POP(cs); RET(v)
#define INT(v)
#define INT0
#define IRET
#define Shift rm r=mrm(fetchb());
#define AAM
#define AAD
#define XLAT
#define ESC(v)
#define LOOPNZ
#define LOOPZ
#define LOOP
#define JCXZ
#define IN
#define OUT
#define INv
#define OUTv
#define JMP x=fetchw(); *ip+=(S)x;
#define sJMP x=(S)(C)fetchb(); *ip+=(S)x;
#define FARJMP
#define LOCK
#define REP
#define REPZ
#define HLT halt=1
#define CMC *fl=(*fl&~CF)|((*fl&CF)^1);
#define NOT
#define NEG
#define MUL
#define IMUL
#define DIV
#define IDIV
#define Grp1 rm r=mrm(fetchb()); \
             y=decrm(r,w); \
             if(trace)P("%s ", (C*[]){}[r.reg]); \
             switch(r.reg){case 0: TEST; break; \
                           case 2: NOT; break; \
                           case 3: NEG; break; \
                           case 4: MUL; break; \
                           case 5: IMUL; break; \
                           case 6: DIV; break; \
                           case 7: IDIV; break; }
#define Grp2 rm r=mrm(fetchb()); \
             y=decrm(r,w); \
             if(trace)P("%s ", (C*[]){"INC","DEC","CALL","CALL","JMP","JMP","PUSH"}[r.reg]); \
             switch(r.reg){case 0: INC((S*)y); break; \
                           case 1: DEC((S*)y); break; \
                           case 2: CALL; break; \
                           case 3: CALL; break; \
                           case 4: *ip+=(S)y; break; \
                           case 5: JMP; break; \
                           case 6: PUSH((S*)y); break; }
#define CLC *fl=*fl&~CF;
#define STC *fl=*fl|CF;
#define CLI
#define STI
#define CLD
#define STD

// opcode table
// An x-macro table of pairs (a, b) where a becomes the name of a void function(void) which
// implements the opcode, and b comprises the body of the function (via further macro expansion)
#define OP(_)\
/*dw:bf                 wf                     bt                    wt   */ \
_(addbf, RM ADD)      _(addwf, RM ADD)       _(addbt,  RM ADD)     _(addwt, RM ADD)     /*00-03*/\
_(addbi, IA ADD)      _(addwi, IA ADD)       _(pushes, PUSH(es))   _(popes, POP(es))    /*04-07*/\
_(orbf,  RM OR)       _(orwf,  RM OR)        _(orbt,   RM OR)      _(orwt,  RM OR)      /*08-0b*/\
_(orbi,  IA OR)       _(orwi,  IA OR)        _(pushcs, PUSH(cs))   _(nop0,       )      /*0c-0f*/\
_(adcbf, RM ADC)      _(adcwf, RM ADC)       _(adcbt,  RM ADC)     _(adcwt, RM ADC)     /*10-13*/\
_(adcbi, IA ADC)      _(adcwi, IA ADC)       _(pushss, PUSH(ss))   _(popss, POP(ss))    /*14-17*/\
_(sbbbf, RM SBB)      _(sbbwf, RM SBB)       _(sbbbt,  RM SBB)     _(sbbwt, RM SBB)     /*18-1b*/\
_(sbbbi, IA SBB)      _(sbbwi, IA SBB)       _(pushds, PUSH(ds))   _(popds, POP(ds))    /*1c-1f*/\
_(andbf, RM AND)      _(andwf, RM AND)       _(andbt, RM AND)      _(andwt, RM AND)     /*20-23*/\
_(andbi, IA AND)      _(andwi, IA AND)       _(esseg, )            _(daa, )             /*24-27*/\
_(subbf, RM SUB)      _(subwf, RM SUB)       _(subbt, RM SUB)      _(subwt, RM SUB)     /*28-2b*/\
_(subbi, IA SUB)      _(subwi, IA SUB)       _(csseg, )            _(das, )             /*2c-2f*/\
_(xorbf, RM XOR)      _(xorwf, RM XOR)       _(xorbt, RM XOR)      _(xorwt, RM XOR)     /*30-33*/\
_(xorbi, IA XOR)      _(xorwi, IA XOR)       _(ssseg, )            _(aaa, )             /*34-37*/\
_(cmpbf, RM CMP)      _(cmpwf, RM CMP)       _(cmpbt, RM CMP)      _(cmpwt, RM CMP)     /*38-3b*/\
_(cmpbi, IA CMP)      _(cmpwi, IA CMP)       _(dsseg, )            _(aas, )             /*3c-3f*/\
_(incax, INC(ax))     _(inccx, INC(cx))      _(incdx, INC(dx))     _(incbx, INC(bx))    /*40-43*/\
_(incsp, INC(sp))     _(incbp, INC(bp))      _(incsi, INC(si))     _(incdi, INC(di))    /*44-47*/\
_(decax, DEC(ax))     _(deccx, DEC(cx))      _(decdx, DEC(dx))     _(decbx, DEC(bx))    /*48-4b*/\
_(decsp, DEC(sp))     _(decbp, DEC(bp))      _(decsi, DEC(si))     _(decdi, DEC(di))    /*4c-4f*/\
_(pushax, PUSH(ax))   _(pushcx, PUSH(cx))    _(pushdx, PUSH(dx))   _(pushbx, PUSH(bx))  /*50-53*/\
_(pushsp, PUSH(sp))   _(pushbp, PUSH(bp))    _(pushsi, PUSH(si))   _(pushdi, PUSH(di))  /*54-57*/\
_(popax, POP(ax))     _(popcx, POP(cx))      _(popdx, POP(dx))     _(popbx, POP(bx))    /*58-5b*/\
_(popsp, POP(sp))     _(popbp, POP(bp))      _(popsi, POP(si))     _(popdi, POP(di))    /*5c-5f*/\
_(nop1, ) _(nop2, )   _(nop3, ) _(nop4, )    _(nop5, ) _(nop6, )   _(nop7, ) _(nop8, )  /*60-67*/\
_(nop9, ) _(nopA, )   _(nopB, ) _(nopC, )    _(nopD, ) _(nopE, )   _(nopF, ) _(nopG, )  /*68-6f*/\
_(jo, J(of))          _(jno, JN(of))         _(jb, J(cf))          _(jnb, JN(cf))       /*70-73*/\
_(jz, J(zf))          _(jnz, JN(zf))         _(jbe, J(cf|zf))      _(jnbe, JN(cf|zf))   /*74-77*/\
_(js, J(sf))          _(jns, JN(sf))         _(jp, )               _(jnp, )             /*78-7b*/\
_(jl, J(sf^of))       _(jnl_, JN(sf^of))     _(jle, J((sf^of)|zf)) _(jnle,JN((sf^of)|zf))/*7c-7f*/\
_(immb, IMM(,))       _(immw, IMM(,))        _(immb1, IMM(,))      _(immis, IMMIS)      /*80-83*/\
_(testb, RM TEST)     _(testw, RM TEST)      _(xchgb, RMP XCHG)    _(xchgw, RMP XCHG)   /*84-87*/\
_(movbf, RM MOV)      _(movwf, RM MOV)       _(movbt, RM MOV)      _(movwt, RM MOV)     /*88-8b*/\
_(movsegf, RM MOVSEG) _(lea, LEA)            _(movsegt, RM MOVSEG) _(poprm,RM POP((US*)p))/*8c-8f*/\
_(nopH, )             _(xchgac, AXCH(cx))    _(xchgad, AXCH(dx))   _(xchgab, AXCH(bx))  /*90-93*/\
_(xchgasp, AXCH(sp))  _(xchabp, AXCH(bp))    _(xchgasi, AXCH(si))  _(xchadi, AXCH(di))  /*94-97*/\
_(cbw, CBW)           _(cwd, CWD)            _(farcall, )          _(wait, WAIT)        /*98-9b*/\
_(pushf, PUSHF)       _(popf, POPF)          _(sahf, SAHF)         _(lahf, LAHF)        /*9c-9f*/\
_(movalb, mMOV)       _(movaxw, mMOV)        _(movbal, mMOV)       _(movwax, mMOV)      /*a0-a3*/\
_(movsb, MOVS)        _(movsw, MOVS)         _(cmpsb, CMPS)        _(cmpsw, CMPS)       /*a4-a7*/\
_(testaib, IA TEST)   _(testaiw, IA TEST)    _(stosb, STOS)        _(stosw, STOS)       /*a8-ab*/\
_(lodsb, LODS)        _(lodsw, LODS)         _(scasb, SCAS)        _(scasw, SCAS)       /*ac-af*/\
_(movali, iMOVb(al))  _(movcli, iMOVb(cl))   _(movdli, iMOVb(dl))  _(movbli, iMOVb(bl)) /*b0-b3*/\
_(movahi, iMOVb(ah))  _(movchi, iMOVb(ch))   _(movdhi, iMOVb(dh))  _(movbhi, iMOVb(bh)) /*b4-b7*/\
_(movaxi, iMOVw(ax))  _(movcxi, iMOVw(cx))   _(movdxi, iMOVw(dx))  _(movbxi, iMOVw(bx)) /*b8-bb*/\
_(movspi, iMOVw(sp))  _(movbpi, iMOVw(bp))   _(movsii, iMOVw(si))  _(movdii, iMOVw(di)) /*bc-bf*/\
_(nopI, )             _(nopJ, )              _(reti, RET(fetchw())) _(retz, RET(0))     /*c0-c3*/\
_(les, LES)           _(lds, LDS)            _(movimb, RMP iMOVm)  _(movimw, RMP iMOVm) /*c4-c7*/\
_(nopK, )             _(nopL, )              _(freti, fRET(fetchw())) _(fretz, fRET(0)) /*c8-cb*/\
_(int3, INT(3))       _(inti, INT(fetchb())) _(int0, INT(0))       _(iret, IRET)        /*cc-cf*/\
_(shiftb, Shift)      _(shiftw, Shift)       _(shiftbv, Shift)     _(shiftwv, Shift)    /*d0-d3*/\
_(aam, AAM)           _(aad, AAD)            _(nopM, )             _(xlat, XLAT)        /*d4-d7*/\
_(esc0, ESC(0))       _(esc1, ESC(1))        _(esc2, ESC(2))       _(esc3, ESC(3))      /*d8-db*/\
_(esc4, ESC(4))       _(esc5, ESC(5))        _(esc6, ESC(6))       _(esc7, ESC(7))      /*dc-df*/\
_(loopnz, LOOPNZ)     _(loopz, LOOPZ)        _(loop, LOOP)         _(jcxz, JCXZ)        /*e0-e3*/\
_(inb, IN)            _(inw, IN)             _(outb, OUT)          _(outw, OUT)         /*e4-e7*/\
_(call, w=1; CALL)    _(jmp, JMP)            _(farjmp, FARJMP)     _(sjmp, sJMP)        /*e8-eb*/\
_(invb, INv)          _(invw, INv)           _(outvb, OUTv)        _(outvw, OUTv)       /*ec-ef*/\
_(lock, LOCK)         _(nopN, )              _(rep, REP)           _(repz, REPZ)        /*f0-f3*/\
_(hlt, HLT)           _(cmc, CMC)            _(grp1b, Grp1)        _(grp1w, Grp1)       /*f4-f7*/\
_(clc, CLC)           _(stc, STC)            _(cli, CLI)           _(sti, STI)          /*f8-fb*/\
_(cld, CLD)           _(std, STD)            _(grp2b, Grp2)        _(grp2w, Grp2)       /*fc-ff*/
#define OPF(a,b)void a(){DW b;}     // generate opcode function
#define OPN(a,b)a,                  // extract name
OP(OPF)void(*tab[])()={OP(OPN)};    // generate functions, declare and populate fp table with names

V clean(C*s){I i;       // replace unprintable characters in 80-byte buffer with spaces
    for(i=0;i<80;i++)
        if(!isprint(s[i]))
            s[i]=' ';
}
V video(){I i;          // dump the (cleaned) video memory to the console
    C buf[81]="";
    if(!trace)P("\e[0;0;f");
    for(i=0;i<28;i++)
        memcpy(buf, mem+0x8000+i*80, 80),
        clean(buf),
        P("\n%s",buf);
    P("\n");
}

static I ct;        // timer memory for period video dump
V run(){while(!halt){if(trace)dump();
    if(!ct--){ct=10; video();}
    tab[o=fetchb()]();}}
V dbg(){
    while(!halt){
        C c;
        if(!ct--){ct=10; video();}
        if(trace)dump();
        //scanf("%c", &c);
        fgetc(stdin);
        //switch(c){
        //case '\n':
        //case 's':
            tab[o=fetchb()]();
            //break;
        //}
    }
}

I load(C*f){struct stat s; FILE*fp;     // load a file into memory at address zero
    R (fp=fopen(f,"rb"))
        && fstat(fileno(fp),&s) || fread(mem,s.st_size,1,fp); }

I main(I c,C**v){
    init();
    if(c>1){            // if there's an argument
        load(v[1]);     //     load named file
    }
    *sp=0x100;          // initialize stack pointer
    if(debug) dbg();    // if debugging, debug
    else run();         // otherwise, just run
    video();            // dump final video
    R 0;}               // remember what R means? cf. line 9

বিভিন্ন ক্রিয়াকলাপের পর্যায়ে ম্যাক্রোগুলি ব্যবহার করে পোস্টস্ক্রিপ্ট কোডটি খাঁটি অনুক্রমিক ফ্যাশনে যেভাবে পরিচালিত হয় তার সাথে খুব ঘনিষ্ঠ শব্দার্থক মিল তৈরি করে। উদাহরণস্বরূপ, প্রথম চারটি অপকডগুলি, 0x00-0x03 হ'ল বিবিধ দিকনির্দেশ (আরইজি -> আরইজি / এমওডি, আরইজি <- আরজিই / এমওডি) এবং বাইট / শব্দের মাপের সমস্ত ADD নির্দেশাবলী, সুতরাং এগুলি ফাংশন সারণীতে হুবহু প্রতিনিধিত্ব করা হয় ।

_(addbf, RM ADD)      _(addwf, RM ADD)       _(addbt,  RM ADD)     _(addwt, RM ADD)

ফাংশন টেবিলটি এই ম্যাক্রো দিয়ে ইনস্ট্যান্ট হয়:

OP(OPF)

যা OPF()প্রতিটি ওপকোড উপস্থাপনার জন্য প্রযোজ্য । OPF()হিসাবে সংজ্ঞায়িত করা হয়:

#define OPF(a,b)void a(){DW b;}     // generate opcode function

সুতরাং, প্রথম চারটি অপকডগুলি প্রসারিত (একবারে):

void addbf(){ DW RM ADD ; }
void addwf(){ DW RM ADD ; }
void addbt(){ DW RM ADD ; }
void addwt(){ DW RM ADD ; }

এই ফাংশনগুলি DWম্যাক্রোর ফলাফল দ্বারা নিজেদেরকে পৃথক করে যা অপকোড বাইট থেকে সরাসরি দিক এবং বাইট / শব্দ বিট নির্ধারণ করে। এই ফাংশনগুলির একটির শরীর প্রসারিত (একবার) উত্পাদন করে:

if(trace){ P("%s:\n",__func__); }  // DW: set d and w from o
d=!!(o&2);
w=o&1;
RMP LDXY  // RM: normal mrm decode and load
z=x+y; LOGFLAGS MATHFLAGS RESULT  // ADD
;

যেখানে মূল লুপ ইতিমধ্যে oপরিবর্তনশীল সেট করেছে :

while(!halt){tab[o=fetchb()]();}}

আরও একটি সময় প্রসারিত করা অপকোডের সমস্ত "মাংস" দেয়:

// DW: set d and w from o
if(trace){ P("%s:\n",__func__); }
d=!!(o&2);
w=o&1;

// RMP: fetch mrm byte and decode, setting x and y as pointers to args and p ptr to dest
rm r=mrm(fetchb());
x=decreg(r.reg,w);
y=decrm(r,w);
if(trace>1){ P("x:%d\n",x); P("y:%d\n",y); }
p=d?(void*)x:(void*)y;

// LDXY: fetch x and y values from x and y pointers
x=get_((void*)x,w);
y=get_((void*)y,w);
if(trace){ P("x:%d\n",x); P("y:%d\n",y); }

z=x+y;   // ADD
// LOGFLAGS: flags set by logical operators
*fl=0;
*fl |= ( (z&(w?0x8000:0x80))           ?SF:0)
     | ( (z&(w?0xffff:0xff))==0        ?ZF:0) ;

// MATHFLAGS: additional flags set by math operators
*fl |= ( (z&(w?0xffff0000:0xff00))     ?CF:0)
     | ( ((z^x)&(z^y)&(w?0x8000:0x80)) ?OF:0)
     | ( ((x^y^z)&0x10)                ?AF:0) ;

// RESULT: store result to p ptr
if(trace)P(w?"->%04x ":"->%02x ",z);
put_(p,z,w);
;

এবং সম্পূর্ণরূপে প্রাক-প্রক্রিয়াজাত ফাংশনটি পেরিয়ে গেছে indent:

void
addbf ()
{
  if (trace)
    {
      printf ("%s:\n", __func__);
    }
  d = ! !(o & 2);
  w = o & 1;
  rm r = mrm (fetchb ());
  x = decreg (r.reg, w);
  y = decrm (r, w);
  if (trace > 1)
    {
      printf ("x:%d\n", x);
      printf ("y:%d\n", y);
    }
  p = d ? (void *) x : (void *) y;
  x = get_ ((void *) x, w);
  y = get_ ((void *) y, w);
  if (trace)
    {
      printf ("x:%d\n", x);
      printf ("y:%d\n", y);
    }
  z = x + y;
  *fl = 0;
  *fl |=
    ((z & (w ? 0x8000 : 0x80)) ? SF : 0) | ((z & (w ? 0xffff : 0xff)) ==
                        0 ? ZF : 0);
  *fl |=
    ((z & (w ? 0xffff0000 : 0xff00)) ? CF : 0) |
    (((z ^ x) & (z ^ y) & (w ? 0x8000 : 0x80)) ? OF : 0) |
    (((x ^ y ^ z) & 0x10) ? AF : 0);
  if (trace)
    printf (w ? "->%04x " : "->%02x ", z);
  put_ (p, z, w);;
}

প্রতিদিনের ব্যবহারের জন্য সর্বাধিক সি স্টাইল নয়, তবে ম্যাক্রোগুলি এভাবে ব্যবহার করা এখানে বাস্তবায়নটি খুব সংক্ষিপ্ত এবং খুব সরাসরি করার জন্য নিখুঁত নিখুঁত বলে মনে হচ্ছে।

ট্রেস আউটপুট এর লেজ সহ পরীক্ষা প্রোগ্রাম আউটপুট:

43(103) incbx:
->0065 
ax:0020 cx:0015 dx:0190 bx:0065 sp:1000 bp:0000 si:0000 di:00c2 ip:013e fl:0000 NC NO NS NZ 
83(203) immis:
fb(373) 64(144) x:100
y:101
CMP ->0001 
ax:0020 cx:0015 dx:0190 bx:0065 sp:1000 bp:0000 si:0000 di:00c2 ip:0141 fl:0000 NC NO NS NZ 
76(166) jbe:
da(332) <0> 
ax:0020 cx:0015 dx:0190 bx:0065 sp:1000 bp:0000 si:0000 di:00c2 ip:0143 fl:0000 NC NO NS NZ 
f4(364) hlt:

.........                                                                       
Hello, world!                                                                   
0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~ 


################################################################################
##                                                                            ##
##  0 1 1 2 3 5 8 13 21 34 55 89 144 233 377 610 987                          ##
##                                                                            ##
##  0 1 4 9 16 25 36 49 64 81 100 121 144 169 196 225 256 289 324 361 400     ##
##                                                                            ##
##  2 3 5 7 11 13 17 19 23 29 31 37 41 43 47 53 59 61 67 71 73 79 83 89 97    ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
##                                                                            ##
################################################################################

আমি কিছু পূর্ববর্তী সংস্করণ comp.lang.c এ ভাগ করেছিলাম তবে তারা খুব একটা আগ্রহী ছিল না।



আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.