কেন ট্রিগারটি স্তর ট্রিগারের চেয়ে বেশি পছন্দ করা হয়?


18

আমি বুঝতে চেষ্টা করছি কেন লেগ ট্রিগারের চেয়ে কেন এজ ট্রিগার পছন্দ করা হয়। আমার বইতে এটি পরিষ্কারভাবে ব্যাখ্যা করা হয়নি। অনলাইনে অনুসন্ধানের পরে আমি জানতে পারি যে প্রান্ত ট্রিগারটি গ্লিটসের প্রতি সংবেদনশীল নয়, তবে স্তরীয় ট্রিগার সংবেদনশীল। এর মানে কী?

এছাড়াও আমি নিম্নলিখিতগুলি বুঝতে সক্ষম হচ্ছি না: "যদি ঘড়িটি স্তর সংবেদনশীল হয় তবে নতুন লজিক নেটওয়ার্কের মাধ্যমে ছুটে আসতে পারে এবং আউটপুট পরিবর্তন করতে পারে this এটি এড়াতে আমাদের আউটপুট ক্যাপচার করতে এবং একটি ধ্রুবক ধরে রাখতে একটি সংক্ষিপ্ত নাড়ি প্রয়োজন। তবে এই জাতীয় সংক্ষিপ্ত নাড়ি তৈরি করা সহজ নয়, তাই আমরা প্রান্তটি ট্রিবিংয়ের জন্য যাই The প্রতিক্রিয়া সমস্যাটি সমাধান হয়ে যায় কারণ একটি নতুন উত্পন্ন প্রান্তের সময়কালে ইনপুটটিতে নতুন আউটপুট চালানোর জন্য পর্যাপ্ত সময় নেই "প্রশ্নঃএন

আমি বুঝতে পারিনি কেন আউটপুট স্তর ট্রিগারে ছুটে আসবে না এবং আউটপুট ধরে রাখতে আমাদের কেন একটি ছোট নাড়ি দরকার।

দ্বিতীয়ত, প্রতিক্রিয়া সমস্যা, যেহেতু লেভেল ট্রিগার সময়কাল প্রান্ত ট্রিগারের তুলনায় দীর্ঘ হয়, প্রাক্তনের ক্ষেত্রে, আউটপুটটিকে আবার ইনপুটটিতে খাওয়ানো হবে এবং যতক্ষণ ঘড়িটি সক্রিয় থাকবে ততক্ষণ এটি এটি চালিয়ে যাবে । প্রতিক্রিয়া সমস্যা কি?

তবে এটি কীভাবে প্রবাহিত হয়ে সমাধান করা হবে? যদি পড়ার বা ওঠার সময় খুব অল্প হয় তবে আউটপুট কীভাবে সমস্ত গেট দিয়ে প্রচার করতে সক্ষম হবে? এটি কি এর মতো, একবার এজ ট্রিগার প্রয়োগ করা গেলে আউটপুটটি সমস্ত গেটের মাধ্যমে প্রচার করা হবে এবং পরবর্তী ইনপুটগুলি কেবল পরবর্তী ঘড়ির প্রান্তে বিবেচনা করা হবে?


1
"একবার ট্রিগার ট্রিগার প্রয়োগ করা হলে আউটপুটটি সমস্ত গেটের মাধ্যমে প্রচার করা হবে এবং পরবর্তী ইনপুটগুলি কেবল পরবর্তী ঘড়ির প্রান্তে বিবেচনা করা হবে" - বুলসি !!
ওয়াউটার ভ্যান ওইজেন

উত্তর:


12

যদিও প্রান্তটি সময় মতো একটি সংজ্ঞায়িত মুহূর্ত, তবে এটি বলা ঠিক নয় যে স্তর-ট্রিগারটিও সময় মতো একটি সংজ্ঞায়িত মুহুর্ত হয় না। এটা করে. সময়টিতে একটি সংজ্ঞায়িত মুহুর্ত থাকে যখন ঘড়ির স্তরটি পড়ে যায়, ক্লকড সার্কিটের ইনপুটগুলি নমুনাযুক্ত হয় এবং ইনপুটগুলিতে আরও পরিবর্তনগুলি আর স্বীকার করা হয় না।

স্তরটি ট্রিগার সহ সমস্যাটি হ'ল ঘড়ির স্তর বেশি থাকাকালীন ইনপুটগুলি আউটপুটগুলিকে পরিবর্তন করে। সার্কিটগুলিতে প্রতিক্রিয়া রয়েছে (আউটপুটগুলি ইনপুটগুলির সাথে ফিরে সংযুক্ত করা হয়) স্তরটি ট্রিগারটি বিশৃঙ্খলা সৃষ্টি করে, কারণ স্তরটি যথেষ্ট প্রশস্ত (আধা ঘড়ি চক্র) যা আউটপুট একই সময়ের মধ্যে ইনপুটগুলিতে ফিরিয়ে আনতে পারে।

টিটি+ +1

প্রথম সুস্পষ্ট সমাধান যা নিজেকে স্তরটি এই বিন্দুতে সংক্ষিপ্ত করতে পরামর্শ দেয় যে অযাচিত প্রতিক্রিয়া দেখা দেওয়া অসম্ভব (এবং "অন" স্তরটি সংক্ষেপে রাখা, এমনকি যদি আমরা নির্বিচারে ঘড়ির সময়কে ধীর করে তুলি)। ধরা যাক আমরা খুব দ্রুত 0 থেকে 1 এবং 0 এ ফিরে ঘড়ির স্পন্দন করি, যাতে ক্লকড ডিভাইসগুলি তাদের ইনপুটগুলি গ্রহণ করে তবে আউটপুটগুলিতে প্রতিক্রিয়ার লুপের মাধ্যমে সেই ইনপুটগুলি পরিবর্তন করতে পর্যাপ্ত সময় না পাওয়া যায়। এটির সাথে সমস্যা হ'ল সংকীর্ণ ডাল অবিশ্বাস্য এবং মূলত এমন প্রতিক্রিয়া প্রয়োজন যা ঘড়ির ফ্রিকোয়েন্সি থেকে দ্রুততার একাধিক আদেশ হতে পারে। সিস্টেমটি কেবল 1 মেগাহার্টজ গতিতে চললেও আমরা দেখতে পেলাম যে আমাদের একটি ডাল প্রয়োজন যা একটি ন্যানোসেকেন্ড প্রশস্ত wide সুতরাং তখন আমাদের 1 মেগাহার্জ জন্য ডিজাইন করা বাসের উপর পরিষ্কার, তীক্ষ্ণ, পর্যাপ্ত লম্বা ন্যানোসেকেন্ড-প্রশস্ত ডাল বিতরণের সমস্যা রয়েছে।

এরপরে পরবর্তী যৌক্তিক পদক্ষেপটি হ'ল ডিভাইসগুলি তাদের জন্য ক্লক প্রান্তের সময় ডেরাইভেটিভ হিসাবে সংকীর্ণ পালস তৈরি করে। ঘড়িটি এক স্তর থেকে অন্য স্তরে রূপান্তরিত হওয়ার সাথে সাথে ডিভাইসগুলি নিজেরাই অভ্যন্তরীণভাবে একটি স্বল্প ডাল তৈরি করতে পারে যার ফলে ইনপুটগুলিকে নমুনা দেওয়া হয়। আমাদের সেই ডালটি নিজেই ক্লক বাসের মাধ্যমে বিতরণ করতে হবে না।

এবং তাই আপনি মূলত এটিকে শেষের দিকে স্তরগত হিসাবে বিবেচনা করতে পারেন। এজ ট্রিগার হ'ল ডিভাইসগুলিকে খুব সূক্ষ্ম স্তর ট্রিগার তৈরি করার অনুমতি দেয় যা সমস্ত বাহ্যিক প্রতিক্রিয়ার লুপের চেয়ে দ্রুততর হয়, ডিভাইসগুলিকে দ্রুত ইনপুট গ্রহণ করতে দেয় এবং তার পরিবর্তিত আউটপুটগুলি ইনপুটগুলির মান পরিবর্তন করার আগে সময়মতো প্রবেশ বন্ধ করে দেয় close ।


আমরা "সক্ষম" সংকেত (স্তরের ট্রিগারযুক্ত ঘড়ি) এবং একটি শৈলীর একটি দরজা যা বায়ুচাপ ধরে রাখে তার মধ্যে একটি উপমা তৈরি করতে পারি। স্তরটি ট্রিগার করা একটি দরজা খোলার মতো, বাতাসকে বাঁচতে দেয়। তবে, আমরা একটি এয়ার লক তৈরি করতে পারি যার মধ্যে দুটি (বা আরও) দরজা থাকে, যা একসাথে খোলা থাকে না। আমরা যদি স্তর ঘড়িকে একাধিক পর্যায়ে ভাগ করি তবে এটিই ঘটে।

এর সর্বাধিক সহজ উদাহরণ হ'ল মাস্টার-স্লেভ ফ্লিপ-ফ্লপ । এটি দুটি স্তরের ট্রিগারযুক্ত ডি ফ্লিপ ফ্লপগুলি এক সাথে ক্যাসকেড করে consists তবে ক্লক সিগন্যালটি উল্টানো হয়েছে, সুতরাং একজনের ইনপুটটি সক্ষম হয় যখন অন্যটি অক্ষম থাকে এবং তদ্বিপরীত হয়। এটি এয়ার লক দরজার মতো। সামগ্রিকভাবে, ফ্লিপ ফ্লপ কখনই খোলা থাকে না যাতে সিগন্যাল অবাধে যেতে পারে। আমাদের যদি ফ্লিপ-ফ্লপের আউটপুট থেকে ইনপুটটিতে ফিরে আসে তবে কোনও সমস্যা নেই কারণ এটি আলাদা ঘড়ির ধাপে অতিক্রম করে। শেষ ফলাফলটি হ'ল মাস্টার-স্লেভ ফ্লিপ-ফ্লপ প্রান্ত-ট্রিগারযুক্ত আচরণ প্রদর্শন করে! মাস্টার-স্লেভ ফ্লিপ-ফ্লপ অধ্যয়ন করা দরকারী কারণ এটি স্তর এবং প্রান্ত ট্রিগার মধ্যে সম্পর্ক সম্পর্কে কিছু বলতে পারে।


1
লেভেলের সংবেদনশীল মাল্টি-ফেজ ঘড়িগুলি "বিশৃঙ্খলা" সমস্যা এড়ায় যদি কোনও ল্যাচের আউটপুট কোনও ল্যাচ দিয়ে না গিয়ে তার ইনপুটটিতে ফিরে না আসে যা একটি ভিন্ন ঘড়ির ধাপে নমুনাযুক্ত। অ্যাসিক্রোনাস স্মৃতি জাতীয় জিনিসগুলির সাথে প্রসেসরের ইন্টারফেস করার সময় এই জাতীয় নকশাগুলির কিছু সুবিধা থাকতে পারে, যেহেতু এক ঘড়ির পর্বের অগ্রণী প্রান্ত এবং পরবর্তী পথের প্রান্তের মধ্যবর্তী সময়টি নির্বিচারে বিচ্ছিন্নভাবে ঠিকানা এবং আউটপুট আউটপুট দেওয়ার জন্য প্রয়োজনীয় সময়ের মধ্যে বিভক্ত করা যেতে পারে র‌্যামের সময় এবং প্রসেসরের ডেটা ইনপুটটির সেটআপের সময়।
সুপারক্যাট

2
@ সুপের্যাট একটি পরিচিত উদাহরণ হিসাবে, মাস্টার-স্লেভ ফ্লিপ-ফ্লপ মাল্টি-ফেজ ক্লকিংয়ের উদাহরণ হিসাবে বিবেচিত হতে পারে। মাস্টার এবং স্লেভ বিপরীত পর্যায়ে রয়েছে, এবং তাই প্রতিক্রিয়া থাকলে একই শর্তটি ধরে রাখে: এটি অন্য পর্যায়ে যায়। এটিকে গোল করার উত্তরে আমার এটি যুক্ত করা উচিত।
কাজ

2
+1 এয়ার লক উপমা। আমি কখনই কাউকে এভাবে ব্যাখ্যা করতে শুনিনি তবে এটি সঠিক ধারণা দেয়।
ajs410

@ এজেএস ৪১০: আমার পছন্দ করা আরও একটি উপমাটি হ'ল একটি ক্লকওয়ার্ক পলানো। দুলটি দুটি বাহুতে সংযুক্ত থাকে, যার প্রতিটিই পলায়ন গিয়ারকে অবাধে চলাচল করতে দেয় বা পরের বার যখন এটি একটি নির্দিষ্ট "পর্যায়ে পৌঁছায়" এটিকে অবরুদ্ধ করতে পারে। যথাযথ অপারেশনের জন্য, বাহুগুলিকে সামঞ্জস্য করতে হবে যাতে উভয় হাতই যখন গিয়ার স্পিন করতে দেয় তখন সময় নেই। এই সীমাবদ্ধতা ছাড়াই, প্রক্রিয়াটি নিয়ন্ত্রণের বাইরে ছড়িয়ে পড়বে।
সুপারক্যাট

2

এজ-ট্রিগার ঘড়ির জন্য ভাল, কারণ এটি পরবর্তী উত্থানের ঘড়ির প্রান্তে কী করা উচিত তা গণনার ক্ষেত্রে একটি (যেমন উদীয়মান) ঘড়ির প্রান্তটি একটি ল্যাচ দ্বারা মান আউটপুটকে মঞ্জুরি দেয়। যদি কেউ বেশ কয়েকটি প্রান্ত-ট্রিগারযুক্ত ল্যাচগুলি ব্যবহার করে কাউন্টার হিসাবে একটি ক্রমবর্ধমান সার্কিট তৈরি করে চলেছে তবে একজন নির্বিচারে দ্রুত এবং ধীর যুক্তিযুক্ত উপাদানগুলি মিশ্রিত করতে পারে তবে প্রতিটি সম্ভাব্য পথের জন্য, প্রচারের সময় বিয়োগের পরিমাণকে গ্রহণ করে যার ফলে ল্যাচের ঘড়িটি পিছিয়ে থাকে প্রেরকের প্রাপকটি হ'ল গ্রহীতার হোল্ড টাইমের চেয়ে বড় হতে পারে এবং চক্রের সময় প্লাস যে পরিমাণ দ্বারা গ্রাহকের ঘড়ি প্রেরককে পিছনে রাখে, প্রচার বিয়োগের সময়টি গ্রহণকারীর সেটআপ সময়ের চেয়ে বড় হওয়ার নিশ্চয়তা দেয়।

বিপরীতে, প্রান্ত-ট্রিগারযুক্ত ল্যাচগুলি ব্যবহার না করে একক ইনপুটটিতে ডাল গণনা করার জন্য একটি সার্কিট নির্মাণের জন্য সার্কিটের কিছু অংশের আপেক্ষিক গতি সম্পর্কে নির্দিষ্ট গ্যারান্টির প্রয়োজন হবে। ইনকামিং ক্লক (ক্লকইন) সিগন্যালটিকে নন-ওভারল্যাপিং পেয়ার ক্লক সিগন্যালগুলিতে (পিআইএইচ এবং পিআইপি) রূপান্তর করার জন্য একটি সাধারণ পদ্ধতির ব্যবহার ছিল। ক্লিআইএন বেশি এবং কিছু গ্যারান্টিযুক্ত-ন্যূনতম সময়ের জন্য উচ্চতর হলে পিআইএফআই 1 সত্য । ক্লিকিএন কম থাকায় পিআই 2 উচ্চতর এবং কিছু গ্যারান্টিযুক্ত ন্যূনতম সময়ের জন্য কম ছিল। ক্লকআইন যখন নীচ থেকে উচ্চে স্যুইচ করে, Phi1 উচ্চে যাওয়ার আগে Phi2 নীচে চলে যাবে; একইভাবে যখন এটি উচ্চ থেকে নীচে স্যুইচ করে। কেউ দুটি সেট ল্যাচ রেখে কাউন্টার তৈরি করতে পারে (যা আমি কাউন্ট 1 এবং কাউন্ট 2 কল করব)। যখনই Phi1 বেশি হয়, তখন কাউন্ট 1 কাউন্ট 2 কে ল্যাচ করে। যখনই ফি 2 উচ্চ হয়, গণনা 2 ল্যাচগুলি (গণনা 1 + 1)। যদি Phi1 এবং Phi2 উভয়ই একবারে উঁচুতে চলে যায় বা তাদের মধ্যে খুব অল্প সময়ের সাথে সাথে পাল্টা মানটি অনির্দিষ্ট হয়ে যায় তবে সঠিক বিচ্ছেদ বজায় থাকলে, কাউন্টারটি খুব সুন্দরভাবে কাজ করবে। দ্বি-পর্যায়-ক্লকড সিস্টেমে প্রায়শই পৃথক ব্যবস্থার চেয়ে বরং উদার পরিমাণে ইঞ্জিনিয়ার থাকে; সমস্ত কিছু পর্যাপ্ত পরিমাণে সরবরাহ করা থাকলে এই জাতীয় বিচ্ছেদগুলি ঘড়ির স্কুতে সমস্যা এড়াতে পারে।

অভ্যন্তরীণভাবে, অনেক প্রান্ত-ট্রিগারযুক্ত ল্যাচগুলি তারযুক্ত ল্যাচগুলির একটি মাস্টার / স্লেভ জুটি হিসাবে বিবেচনা করা যেতে পারে যাতে ঘড়ির প্রান্তের আগে যখন অবস্থায় থাকে তখন মাস্টার ইনপুটটি ল্যাচ করে এবং ঘড়ি যখন থাকে তখন দাস মাস্টারটিকে ল্যাচ করে ches পরে রাজ্যে। দুটি ল্যাচকে খাওয়ানোর সংকেতগুলির একটি উপযুক্ত সম্পর্ক থাকা দরকার, তবে যদি সেগুলি ল্যাচগুলির মতো একই অঞ্চলে উত্পাদিত হয় তবে দুটি ঘড়ির পর্যায়গুলি যদি প্রয়োজন হয় তবে প্রায় আলাদা করার পরিমাণের জন্য অতিরিক্ত প্রকৌশলী প্রয়োজন নেই one বিস্তৃত অঞ্চল প্রেরণ।

মনে রাখবেন যে প্রান্ত-ট্রিগার ঘড়ির জন্য ভাল তবে এমন আরও কয়েকটি উদ্দেশ্য রয়েছে যার জন্য এটি কম ভাল। পর্যায়ক্রমিক ইভেন্টগুলিকে বিঘ্ন ঘটানোর জন্য এটি কখনও কখনও সুবিধাজনক হয় তবে যে কোনও দুটি ইভেন্ট একই প্রান্ত-ট্রিগারযুক্ত সংকেত ভাগ না করে। এজ-ট্রিগার ভাগ করা বাধাগুলির জন্য লম্পট এবং কিছু ক্ষেত্রে ভাগ না করে ভাগ করা সমস্যাগুলি এমনকি সমস্যাযুক্ত হতে পারে যদি না বাধা তারের অবস্থার পোল করার উপায় না থাকে। লেভেল ট্রিগারগুলি সেই ক্ষেত্রে ক্ষেত্রে সুবিধাজনক হতে পারে যেখানে স্ট্রোব সিগন্যাল একই তথ্যক্রমে কিছু তথ্য সক্রিয় করা হবে যা কিছু তথ্য ল্যাচ করা উচিত, তবে যেখানে তথ্য স্ট্রোবের চেয়ে দীর্ঘতর গণনার পথ রয়েছে। যদি তথ্যটি একটি অ্যাসিনক্রোনাস মেমরি চিপের জন্য ঠিকানার মতো কিছু হয় তবে এটি একটি ঘড়ির চক্রের সময় কোনও এক সময় পাওয়া গেলে পরবর্তী ঘড়ির চক্র শুরু না হওয়া পর্যন্ত অপেক্ষা করার চেয়ে ভাল।


2

কিনারা কেন ট্রিগার পছন্দ করা হয় তা বুঝতে, একটি 8-বিট সংযোজনকারী একটি রেজিস্টারকে আঁকিয়ে ধরে রেজিস্টারটির সক্ষম পিনটিতে একটি ধাক্কা-বাটনযুক্ত তার সাথে নিয়মিত নিবন্ধকের মান 1 যুক্ত করুন imagine

যদি নিবন্ধকের সক্ষম পিনটি স্তর-সংবেদনশীল হয় তবে নিবন্ধের বিষয়বস্তুগুলি ক্রমাগত বাটন প্রেসের সময়কালের জন্য বৃদ্ধি পাবে। রেজিস্টারটির পরবর্তী অবস্থা বোতামটির প্রদত্ত প্রেসের জন্য নির্ধারক হবে না। এটি কারণ আপনার আঙুলটি সরিয়ে দেওয়ার আগে অ্যাডারটি নিবন্ধককে বহুবার বাড়িয়ে তুলতে পারে - অন্য কথায়, প্রতিক্রিয়াটি রেজিস্টারটি ছেড়ে দেয় এবং এটি খুব দ্রুত অ্যাডারে ফিরিয়ে দেয়।

যদি সক্ষম পিনটি পরিবর্তে প্রান্ত সংবেদনশীল হয় তবে প্রতিটি সময় বোতামটি টিপানোর সময় নিবন্ধকের বিষয়বস্তুগুলি ঠিক একবার বাড়িয়ে তুলবে, বোতামটি যতক্ষণ চাপতে হবে তা বিবেচনা করা হয়নি (ইনপুটটি সঠিকভাবে ঘোষণা করা হয়েছে ধরে নেওয়া)। কোনও নিরস্তাত্মক আচরণের জন্য নিবন্ধকের আউটপুটটিকে এটিকে আবার সংযোজকের কাছে ফিরিয়ে দেওয়া অসম্ভব be


1

অন্যরা যেমন বলেছিলেন, প্রান্তটি সময় একটি খুব নির্দিষ্ট মুহূর্ত। আমরা সকলেই জানি যে ডিজিটাল সার্কিটগুলি বিভ্রান্তির মধ্য দিয়ে যেতে পারে যেখানে একটি গেটের আউটপুট ভুল হওয়ায় যেহেতু এর কয়েকটি ইনপুট কেবল এটির কাছে পৌঁছেছে, অন্যান্য সংকেতগুলি চলছে এবং দীর্ঘ পথের কারণে বেশি সময় নিচ্ছে (বিদ্যুৎ বেশ দ্রুত ভ্রমণ করে) তবে এখনও 3x10 ^ 8 মি / s তারের কাছাকাছি যেতে একটু সময় নেয়)। আমাদের যদি একটি স্তর ট্রাইগার্ড ইউনিট থাকে, তবে আউটপুটটি গ্লিটস দ্বারা প্রভাবিত হবে। জিনিসগুলি প্রান্তকে ট্রিগার করার সাথে সাথে আমাদের সময়ে একটি খুব সংকীর্ণ উইন্ডো রয়েছে যেখানে "জিনিসগুলি ঘটতে পারে", অন্য কথায় সার্কিটটি কেবলমাত্র তার অবস্থার পরিবর্তন করবে (আউটপুট সহ সার্কিটের অভ্যন্তরে বিভিন্ন তারের মানগুলি) নির্দিষ্ট কিছু সংজ্ঞায়িত তাত্ক্ষণিক সময়ে সময়। দয়া করে "সময়ের সাথে তাত্ক্ষণিক" শব্দটি নোট করুন

এই মুহুর্তে আপনি "সময় বিশ্লেষণ" নামে পরিচিত এমন কিছু সম্পর্কে সচেতন হবেন না তবে আমি কিছুটা ব্যাখ্যা করব will আমরা যখন ডিজিটাল সার্কিটগুলি তৈরি করি, তখন তারা তাদের আচরণ কী করবে তা দেখার জন্য আমরা তাদের অনুকরণ করতে চাই এবং যদি এই আচরণটি আমাদের কী করতে চায় তা ফিট করে। সময় বিশ্লেষণে আমরা পরীক্ষা করে দেখি যে সংকেতগুলি প্রয়োজনীয় সময়ের মধ্যে সার্কিটের একটি নির্দিষ্ট পর্যায়ে পৌঁছাতে সক্ষম হয় কিনা। তারা সার্কিটের চেয়ে বেশি দেরি করলে সঠিকভাবে কাজ করবে না। স্তরটি ট্রিগার হওয়ার পরিবর্তে প্রান্ত ট্রিগারযুক্ত সার্কিট ব্লক ব্যবহার করে, সময় বিশ্লেষণ খুব সহজ হয়ে যায় কারণ আমরা জানি যে ঠিক কখন সার্কিটে ঘটতে পারে। এর অর্থ সার্কিটের নকশা করাও সহজ হয়ে যায়। মনে রাখবেন যে আসল বিশ্বে আপনি লেভেল ট্রিগারযুক্ত ল্যাচগুলি খুব কমই আসবেন।


1

যদিও অন্যান্য উত্তরগুলি আপনার প্রশ্ন সম্পর্কে বলার মতো প্রায় সমস্ত কিছুই coveredেকে রেখেছে, এটি আরও স্পষ্ট করার জন্য আমি কয়েকটি চিত্র এবং একটি সংক্ষিপ্ত বিবরণ যুক্ত করেছি।

কল্পনা করুন যে আপনি এমন একটি সার্কিট ডিজাইন করতে চান যাতে কোনও ধরণের প্রতিক্রিয়া অন্তর্ভুক্ত থাকে। বলুন A = A.B(একটি প্রতিক্রিয়া সহ মূলত একটি এ্যান্ড গেট)। আপনি যদি এটিকে একটি সাধারণ স্তরের সংবেদনশীল ল্যাচ ব্যবহার করে এটি প্রয়োগ করেন যা এ এর ​​মান সঞ্চয় করে, আপনার সার্কিটটি এরকম কিছু দেখাচ্ছে এখানে চিত্র বর্ণনা লিখুন

এটি একটি সমস্যা তৈরি করতে পারে। যখন ঘড়িটি বেশি থাকে, ল্যাচটি স্বচ্ছ হয়ে যায়, এবং এ্যান্ড গেটটি নতুন মানটির গণনা করে A and B। তবে মানটি ল্যাচকে ফিরে খাওয়ানো হয়, এবং যেহেতু ল্যাচটি এখনও স্বচ্ছ (সিএলকে এখনও বেশি), এর নতুন মান A AND Bগণনা করা হয়। এটিকেই সাধারণত রেসের শর্ত হিসাবে উল্লেখ করা হয় , যা আপনার উল্লিখিত ভুলচাপ তৈরি করে (আউটপুটে অপ্রত্যাশিত উচ্চতা এবং নিম্ন)।

এই সমস্যার একটি সমাধান হ'ল সিএলকে ডালটি খুব সংক্ষিপ্ত, যথেষ্ট সংক্ষিপ্ত রাখতে হবে যে একবার অ্যান্ড গেটের আউটপুট তৈরি হয়ে গেলে, ল্যাচটি আবার ইনপুটটিতে ব্যাকপ্রোগেট করার আগে ল্যাচটি নিষ্ক্রিয় করা হয়। কেন এটি অর্জন করা কঠিন হতে পারে তা দেখার জন্য স্বজ্ঞাত হওয়া উচিত। এটি ল্যাচটির স্যুইচিং গতির উপর নির্ভর করবে (অবশেষে, একটি ল্যাচও সম্মিলিত দরজা দিয়ে তৈরি করা হয়), তারের দৈর্ঘ্য এবং শত শত অন্যান্য পরামিতি।

দ্বিতীয় দ্বিতীয় সম্ভাব্য বিকল্পটি হ'ল একটি প্রান্ত সংবেদনশীল উপাদান (এই ক্ষেত্রে একটি ফ্লিপ ফ্লপ) ব্যবহার করা, যা মূলত খুব চালাক উপায়ে সংযুক্ত কয়েকটি ল্যাচ।

এখানে চিত্র বর্ণনা লিখুন

মূলত, যখন CLKসংকেত বেশি থাকে, ল্যাচ ইউ 1 স্বচ্ছ হয়, যা ল্যাচ ইউ 1 এর আউটপুটে একটি ইনপুটটিতে যুক্তির মান নিয়ে আসে, যখন CLKসিগন্যালটি স্বল্প হয়, ল্যাচ ইউ 2 স্বচ্ছ হয়ে যায় এবং এর একটি নতুন মান A AND Bগণনা করা হয়। তবে এই মানটি এআরএন গেটের ইনপুটটিতে তখনই প্রসারিত হবে যখন ল্যাচ ইউ 1 স্বচ্ছ হয়ে যাবে (সিএলকে হাইট হয়), এবং ফলস্বরূপ ল্যাচ ইউ 1 স্বচ্ছ হয়ে যায় (সিএলকে কম)। যা মূলত সার্কিটটি এখন প্রান্ত সংবেদনশীল (এই আউটপুট মানটি কেবলমাত্র ঘড়ির নেতিবাচক প্রান্তে পরিবর্তিত হয়) বলার সমতুল্য।

এটি আগে বর্ণিত রেসের শর্তের সমস্যা এড়ায় এবং এজন্যই লেভেল ট্রিগারটি প্রান্ত ট্রিগারের চেয়ে বেশি পছন্দ করা হয়।

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.