উবুন্টুতে ভেরিলোগ এইচডিএল কীভাবে ব্যবহার করবেন?


8

আমি কিছু উদাহরণ সঙ্গে একটি ছোট কোর্স শুরু করার চেষ্টা Verilog HDL এবং শ্রেষ্ঠ অনুসন্ধানের জন্য অনেক সময় কাটানোর পর সিমুলেটার বা আইডিই কিছু উদাহরণ দিয়ে অনুশীলন, আমি কিভাবে উবুন্টু 12.04 তে এটি ব্যবহার করতে জিনিসটা ব্যর্থ

সুতরাং, আমার দুটি প্রশ্ন রয়েছে:

  1. আমি কীভাবে উবুন্টু 12.04 এ ভেরিলোগ ব্যবহার করতে পারি?

  2. লিনাক্সের জন্য সেরা সিমুলেটর (বা আইডিই) কী পাওয়া যায়?

উত্তর:


3

আপনি SynpatiCAD এর লিনাক্স ভেরিলোগ সিমুলেটর ডাউনলোড করতে পারেন যা উবুন্টু সামঞ্জস্যপূর্ণ। এটিতে একটি কমান্ড লাইন সিমুলেটর এবং একটি গ্রাফিকাল আইডিই রয়েছে। আপনি এটি ইনস্টল করার পরে, আপনি সরঞ্জামটি চালাতে পারেন এবং সিমুলেটারের জন্য বিনামূল্যে 6 মাসের লাইসেন্সের জন্য আবেদন করতে পারেন।


5

এই পোস্টটি সাহায্য করে: লিনাক্সে (উবুন্টু) ভেরিলোগ এবং ভিএইচডিএল ?

এটি ভেরিলোগ সিমুলেশনের জন্য Icarus Verilog ( iverilogভান্ডারগুলিতে), VHDL সিমুলেশনের জন্য GHDL , এবং GTKwave ( gtkwaveভান্ডারগুলিতে) ওয়েভফর্ম দেখার জন্য সম্মিলিত ব্যবহারের পরামর্শ দেয়।

আপনি যদি একটি Elpipse- ভিত্তিক ভেরিলোগ সম্পাদক চান, ওয়েবেটর চেষ্টা করুন ।


তবে ভেদিতরটি খোলেন না আমি জেডিকে 6 দিয়ে এটি খুলি এবং কোনও প্রতিক্রিয়া জানায় না বা এটি চালু করার অন্য কোনও উপায় নেই
আম্রো

এটি একটি স্বতন্ত্র পণ্য নয়, তবে একটি গ্রহন প্লাগইন; : অন্ধকার ইনস্টল করুন, তারপর নির্দেশাবলী এখানে অনুসরণ sourceforge.net/apps/mediawiki/veditor/...
টম Regner

ঠিক আছে আমি আরেকটি অনুগ্রহ চাই, আপনি কীভাবে আইক্রাস ভেরিলোগ ইনস্টল করবেন আপনার উত্তর আপডেট করতে পারবেন, এটি ইনস্টল করতে পারবেন না
amrro

ghdl আমাকে ত্রুটি দিন ... প্যাকেজ ghdl উপলব্ধ নয়, তবে অন্য প্যাকেজ দ্বারা উল্লেখ করা হয়। এর অর্থ এই হতে পারে যে প্যাকেজটি অনুপস্থিত, অপ্রচলিত হয়েছে, বা কেবল অন্য উত্স থেকে পাওয়া গেছে E: প্যাকেজ 'ghdl' এর কোনও ইনস্টলেশন প্রার্থী নেই
amrro

আহ - মেইল . gna.org/public/ghdl-discuss/2012-04/msg00017.html পাওয়া গেছে ; বিল্ড ইস্যুগুলির কারণে ডিএইচডিএল থেকে ডিএইচডিএল নামানো হয়, এবং তার জন্য ওবুন্টুতে 12.04 হিসাবে; আপনি এটি নিজেই সংকলন করার চেষ্টা করতে পারেন (এবং এটি চেকইনস্টলের মাধ্যমে ইনস্টল করুন) - তবে তার মাধ্যমে আপনাকে কথা বলা / লিখতে আমার সময় যা অনুমতি দেয় তা ছাড়িয়ে যায়
টম রেগনার

1

আপনি এফপিজিএ বিকাশের জন্য আইডিই পরিবেশ ব্যবহার করতে পারেন। আল্টেরার জন্য আল্টেরা কোয়ার্টাস (ইন্টেল) এফপিজিএ বা জিলিনেক্স ডিভাইসগুলির জন্য জিলিনেক্স আইএসই। এই পরিবেশগুলি আপনাকে ভিএইচডিএল এবং ভারিলোগ উত্স কোড লিখতে দেয় এবং সিমুলেটর অন্তর্ভুক্ত করে।


ব্যক্তিগতভাবে কোয়ার্টাস প্রাইম ব্যবহার করা হচ্ছে, যথেষ্ট ভাল আইডিই, যদিও আপনি যদি সত্যিকারের এফপিজিএতে ভেরিলোগ কোডটি ডাউনলোড করতে চলেছেন তবে ডিভাইসটি স্বীকৃত হওয়ার জন্য আপনাকে উদেব নিয়মগুলি সম্পাদনা করতে হবে।
সের্গেই কলডিয়াজনি

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

সবকিছু সঠিকভাবে কাজ করছে কিনা তা দেখতে, ভার্জির হ্যালো-ওয়ার্ল্ডটি করা যাক।

nano hello.v

তারপরে নিম্নলিখিত হ্যালো-ওয়ার্ল্ড কোডটি লিখুন

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

তারপরে সংকলন করুন

iverilog hello.v -o hello

এবং অবশেষে আপনার কোড চালান

vvp hello

-1

টার্মিনাল উইন্ডোতে এই কমান্ডটি ব্যবহার করে দেখুন:

sudo apt-get install gplcver

এটি প্রশ্নের উত্তর দেয় না। আপনার উত্তরটি বিস্তারিতভাবে বর্ণনা করুন। জিপিএলসিভার কী? এটি কিভাবে ব্যবহার করতে?
এরিক কারভালহো
আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.