আপনি একটি মডেলসিম টেস্টবেঞ্চকে একটি বাহ্যিক উদ্দীপনা দিয়ে ইন্টারফেস করতে পারেন


10

আমি এমন একটি টিমে কাজ করছি যা ড্রাইভার সফ্টওয়্যার এবং এফপিজিএ উভয়ই বিকাশ করছে। এফপিজিএ সিমুলেশনটি মডেলসিমে করা হচ্ছে এবং ড্রাইভার সফ্টওয়্যার সিতে লেখা হয়েছে ইন্টিগ্রেশন ঝুঁকি হ্রাস করতে, আমি হার্ডওয়্যারে রাখার আগে আমাদের পণ্যটির দুটি অংশের মধ্যে মিথস্ক্রিয়াটিকে মডেল করতে সক্ষম হতে চাই।

আমি জানি যে মডেলসিম একটি টেস্টবেঞ্চকে সমর্থন করে যা আপনাকে সময় এবং মানগুলির সাথে ইনপুট করার জন্য একটি পাঠ্য ফাইল আকারে উদ্দীপনা সরবরাহ করতে দেয়। আমি ভাবছি যদি মডেলসিমের এমন কোনও মোড থাকে যা আপনাকে কোনও বাইরের অ্যাপ্লিকেশন (যেমন আমাদের ড্রাইভার) এর জন্য পাইপ আঁকতে এবং এমন একরকম বিতরণ সিমুলেশন চালাতে দেয় যেখানে সফ্টওয়্যার টেস্টবেঞ্চে মানগুলি ঠেলে দিতে পারে, তবে ফলাফলগুলি পরে পর্যবেক্ষণ করুন ।

আমি যে কৌশলটি কোনও পাঠ্য ফাইল ইনপুট দিয়ে করতে পারি না তা হ'ল পণ্যটির দুটি অংশ অর্ধেক হয়। আমার এফপিজিএ সিমুলেটারে "রাইটিং" মান থাকতে হবে, ফলাফলগুলি পড়তে হবে এবং তারপরে প্রাপ্ত ফলাফলের উপর নির্ভরশীল এফপিজিএতে নতুন মান লিখতে হবে। পাঠ্য ফাইলগুলির জন্য আউটপুট থেকে ইনপুটগুলি স্বাধীন হওয়া দরকার require

আমি স্ট্যাক এক্সচেঞ্জ এবং গুগল উভয় ক্ষেত্রেই অনুসন্ধান করেছি, তবে আমি যে আচরণটি সন্ধান করছি তা সনাক্ত করতে বা এটির অস্তিত্ব নেই তা নির্ধারণের জন্য আমার অনুসন্ধানকে সংকীর্ণ করার জন্য কীওয়ার্ডের একটি সেট নিয়ে আসতে পারিনি।

উত্তর:


9

ইউনিক্স নামের পাইপগুলির মাধ্যমে কোনও মডেলসিম সিমুলেশনটির বাহ্যিক নিয়ন্ত্রণ

বিমূর্ততা: এই থিসিসে, আমরা একটি বাহ্যিক প্রোগ্রামের মাধ্যমে মডেলসিম সিমুলেশন নিয়ন্ত্রণ করার একটি পদ্ধতি উপস্থাপন করি। মডেলসিম এবং বাহ্যিক প্রোগ্রামের মধ্যে যোগাযোগ নামযুক্ত পাইপ ("ফিফস") ব্যবহার করে সম্পন্ন হয়, যা প্রতিটি অ্যাপ্লিকেশনে স্বাভাবিক ফাইল হিসাবে উপস্থিত হয়। ইন্টার-প্রসেস কমিউনিকেশন (আইপিসি) এর জন্য ফাইফগুলি বনাম সাধারণ ফাইলগুলির মধ্যে প্রধান পার্থক্যটি হ'ল যে কোনও অ্যাপ্লিকেশন ফিফোর কাছে লেখার চেষ্টা করার সময় কোনও অ্যাপ্লিকেশন থেমে থাকে ততক্ষণ অন্য অ্যাপ্লিকেশন ফিফোর কাছ থেকে পড়ার চেষ্টা করে না এবং তদ্বিপরীত হয়। এটি আইপিসির নির্ভরযোগ্যতা উন্নত করে। এই পদ্ধতির প্রধান সুবিধাগুলি ১) যেহেতু কেবল জেনেরিক ফাইল অপারেশন ব্যবহৃত হয় তাই বাহ্যিক অ্যাপ্লিকেশন প্রায় কোনও প্রোগ্রামিং ভাষায় লেখা যেতে পারে; 2) এটি যাচাই ইঞ্জিনিয়ারকে ন্যূনতম পুনরায় লেখার সাথে মান-বৈধতা সফ্টওয়্যার প্যাকেজগুলি পুনরায় ব্যবহার করার ক্ষমতা দেয়; 3) টেস্টের অধীনে একাধিক ডিভাইস (DUT) একে অপরের সাথে যোগাযোগ করে, চূড়ান্ত সিস্টেমের আরও সঠিক সিমুলেশন তৈরি করা যেতে পারে; এবং 4) সামগ্রিক সিমুলেশনটির পারফরম্যান্স একটি সিঙ্গল-সিস্টেম ইমেজ (এসএসআই) ক্লাস্টার বা মাল্টিপ্রসেসর কম্পিউটারে সহজেই বাড়ানো যায় যদিও মডেলসিমের সিমুলেশন ইঞ্জিনটি মাল্টি-থ্রেডেড না। আচরণগত ভিএইচডিএলের জন্য ফাইল ইনপুট / আউটপুট (আই / ও) প্রয়োগের কারণে, মডেলসিম এই এফআইএফগুলি সরাসরি পড়তে বা লিখতে পারে না। মডেলসিমের বিদেশী ভাষা ইন্টারফেস (এফএলআই) ব্যবহার করে এই সীমাবদ্ধতার জন্য একটি কার্যপ্রণালী প্রদর্শিত হয়। এই কাগজটি ভিএইচডিএলে পরবর্তী প্রজন্মের ভাসমান পয়েন্ট রুটিনগুলির যাচাইকরণে এই পদ্ধতির কার্যকর উদাহরণ দেখায়। বিশেষত, ওপেন-সোর্স আইইইই কমপ্লায়েন্স চেকার সফ্টওয়্যার প্যাকেজ, যা সি ++ তে লেখা আছে,

এবং বিদেশী প্রোগ্রামিং ইন্টারফেসের জন্য FIFOs এর সমস্যাটি হ'ল ভিএইচডিএল ফাইল আই / ও তথ্য সরবরাহ না হওয়া অবধি ব্লকিংয়ের সাথে মনোযোগ দিতে পারে না।

দুর্ভাগ্যক্রমে থিসিস ওয়েবে উপলব্ধ নয়।

মডেল সিম ® বিদেশী ভাষা ইন্টারফেস সংস্করণ 5.6 ডি , পিডিএফ 3.4 এমবি।

সি - ভিএইচডিএল কো-সিমুলেশন এবং লিনাক্স x86 প্ল্যাটফর্ম আন্দ্রে পুলের সিমুলেটর কন্ট্রোলের জন্য মডেলসিম বিদেশী ভাষা ইন্টারফেস ব্যবহার করে - fli@andrepool.com - সংস্করণ 1.5 - নভেম্বর 2012 তৈরি হয়েছে, শেষ আপডেট সেপ্টেম্বর 2013 , পিডিএফ, 320 কেবি (এবং কখনও এফআইএফওর উল্লেখ নেই) ।

সি - ভিএইচডিএল কো-সিমুলেশন এবং লিনাক্স x86 প্ল্যাটফর্মের সিমুলেটর নিয়ন্ত্রণের জন্য মডেলসিম বিদেশী ভাষা ইন্টারফেস ব্যবহার করে (ম্যাথিং গিথুব কোড সংগ্রহস্থল)।

ভিএইচপিআই বিদেশী ফাংশন কলটি জিএইচডিএল ব্যবহার করে আরও কিছু ওপেন সোর্স রয়েছে: মাস্টার ane টেক্সেন / ভিএইচডিএল · গিটহাব এ ভিএইচডিএল / এসসিআর / সিম / ঘড্লেক্স_মিন

এবং জিএইচডিএল_ডিস্কস তালিকায় মার্টিন স্ট্রুবেলের একটি আপডেটের মতো দেখতে: http://www.section5.ch/downloads/ghdlex-0.051.tgz 14 এপ্রিল, 2014 এর ফিফোকো-এর জন্য একটি তারিখ দেখাচ্ছে।

এফএলআই সংজ্ঞা অনুসারে আপনাকে মডেলসিমে লক করে রাখবে, তাদের ভিএইচপিআই সমর্থন রাষ্ট্রের বিষয়ে নিশ্চিত নয় (যা আইইইই স্ট্যান্ড 1076-2008, ভিএইচডিএল এলআরএম এর অংশ)।

একটি সকেট বা ফাইল ফিফো ব্যবহার করে রেট বাফারিং সরবরাহ করে সফ্টওয়্যার এবং হার্ডওয়্যার প্রক্রিয়াটিকে বিভিন্ন হারে চালানোর অনুমতি দেয়। আপনার সফ্টওয়্যার সিস্টেমটি হার্ডওয়্যার সিমুলেশন (এবং এটি সাধারণত হয়) এর চেয়ে দ্রুততর মানের হয়ে থাকে তবে এটি সর্বদা প্রয়োজনীয় হয় না।

ভিএইচডিএলকে ইউএনআইএক্স (পসিক্স ) কার্যকারিতা সরবরাহ করার চেষ্টা রয়েছে, পাবলিক ডোমেন ভিএইচডিএল প্যাকেজগুলি দেখুন , যা পূর্বের কাগজের এসএনইউজি সান জোসে 2002 1 সি / ইউএনএক্স ফাংশন ভিএইচডিএল টেস্টবেঞ্চগুলির সি / ইউনিক্স ফাংশন সহ একটি স্লাইড সহ ইউনিক্স পাইপ এবং আরএসএসে অতিরিক্ত নোট সহ সেট করুন । এটি দেখায় যে নামযুক্ত পাইপগুলি কীভাবে খোলা রাখা যায়। সমস্ত ভিএইচডিএল কোডও ডাউনলোড করা যায়। আমি আপনার মতামতের সাথে এটি আপনার লক্ষ্য অর্জনের সবচেয়ে সহজতম পথে পরিচালিত করবে।

তাহলে মডেলসিমের এমন কোনও নেটিভ কি আছে যা আপনাকে পাইপ পর্যন্ত আঁকতে দেয়? সম্ভবত না, উইন্ডোজ অধীনে আরও। এটা করা যায়? হ্যাঁ, তবে উপায় হতাশদের পক্ষে নয়। আপনি এক প্রান্ত বা অন্য প্রান্তে বা সম্ভবত উভয়ই ডেটা উপলভ্যতার জন্য অপেক্ষা করতে পারেন।

একটি বিদেশী প্রোগ্রামিং ইন্টারফেস তাত্ত্বিকভাবে আপনাকে 'পাইপ' এর এক বা অন্য প্রান্তের জন্য একটি শিশু প্রক্রিয়া তৈরি করতে দেয় যার অর্থ আপনি উভয় প্রান্তের মধ্যে যোগাযোগের জন্য একটি ভাগ করা মেমরি মডেল ব্যবহার করতে পারেন।


বিস্ময়করভাবে গবেষণা করা উত্তরের জন্য আপনাকে ধন্যবাদ! "বিদেশী ভাষা ইন্টারফেস" হ'ল বাক্যাংশটি আমার প্রয়োজনীয় রেফারেন্স ডকুমেন্টেশনগুলি টানতে আমার প্রয়োজন হয়েছিল, আমি এটি কখনই অনুমান করতে পারতাম না! প্রথমদিকে এখানে একীকরণের জন্য আমি আসি!
আম্মন কর্ট

5

আপনি কোকটব দেখতে চাইবেন । এটি একটি পাইথন ভিত্তিক কো-সিমুলেশন লাইব্রেরি, ডিজাইন লক্ষ্যগুলির মধ্যে একটি হ'ল আপনি যে পদ্ধতিটি বর্ণনা করেছেন তা সক্ষম করে তোলে, সহজেই অন-সংশোধিত উত্পাদন সফ্টওয়্যার এবং আরটিএল অনুকরণ করে।

pingসিমুলেশন এবং কোডের মধ্য দিয়ে একটি টিউটোরিয়ালের বিরুদ্ধে আনমোডাইফাইড কমান্ড চালানোর ভান্ডারে একটি উদাহরণ রয়েছে ।

ব্যবহারকারী-স্থান ড্রাইভার, কনফিগারেশন ইউটিলিটিস ইত্যাদির জন্য আপনার সফ্টওয়্যারটি অ-সংশোধিত চালানোর জন্য বেশ কয়েকটি বিকল্প রয়েছে :

  1. যদি ডিভাইসে আপনার অ্যাক্সেসগুলি কয়েকটি ফাংশন (যেমন একটি পঠন এবং একটি লিখন কল) এ ফোটায় তবে আপনি সিমুলেশন লাইব্রেরির সাথে লিঙ্ক করতে পারেন যা সিমুলেশনটির বিরুদ্ধে অ্যাক্সেস সম্পাদন করার সময় অবরুদ্ধ করে। এটি কনফিগারেশনের জন্য খুব ভাল কাজ করে।

  2. যদি আপনার সফ্টওয়্যারটি ডিভাইসে অ্যাক্সেসের জন্য মেমরিযুক্ত ম্যাপযুক্ত আইও এবং ডিरेফারেন্স পয়েন্টার ব্যবহার করে তবে জিনিসগুলি কিছুটা জটিল হয়ে যায় - আপনাকে সুরক্ষা বিট সেট এবং ফাঁদ অ্যাক্সেস সহ একটি ভাগ করা মেমরি অঞ্চল তৈরি করতে হবে ।

আপনি যদি নেটওয়ার্কিং ব্যবহার করেন তবে TUN / TAP এর মতো ভার্চুয়াল ইন্টারফেস ব্যবহার করা যেতে পারে ( উপরে উল্লিখিত টিউটোরিয়ালটি দেখুন ), আমি সন্দেহ করি ইউএসবি স্থানান্তর বা অন্যান্য সাধারণ হোস্ট ইন্টারফেসের জন্য অনুরূপ বিকল্প থাকতে পারে।

কোকবিবি বিভিন্ন সিমুলেটর এবং ভিএইচডিএল (ভিএইচপিআইয়ের মাধ্যমে) বা ভেরিলোগ / সিস্টেমভেরিলোগ ডিজাইনের (ভিপিআইয়ের মাধ্যমে) সাথে কাজ করে। দুর্ভাগ্যক্রমে মডেলসিম ভিএইচপিআই বাস্তবায়ন করেন না যাতে কোনও ভিএইচডিএল ব্যবহারকারী হিসাবে আপনি এফএলআইয়ের সাথে আটকে থাকেন যা কোনও ইন্টারফেসের মতো কার্যকর নয়। আপনি পারে মেন্টর এ বিলাপ চেষ্টা করুন এবং একটি শিল্প মান ইন্টারফেস বাস্তবায়ন তাদের প্ররোচিত করা, অথবা আপনি অন্য কাল্পনিক VHPI সমর্থন করে নির্ণয় করা যায়নি।

দুঃখজনকভাবে, এটি প্রদর্শিত হয় যে সাধারনত সরঞ্জাম বিক্রেতারা ভিএইচডিএল বাজারে বিশেষভাবে আগ্রহী না, ভিএইচডিএল সম্পর্কিত যে কোনও কার্যকারিতা বাস্তবায়নে তাদের সময় লাগে তা বিচার করে ...

দাবি অস্বীকার: আমি একজন কোকটব বিকাশকারী।

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.