প্রশ্ন ট্যাগ «vhdl»

ভিএইচডিএল (ভিএইচএসআইসি (খুব হাই স্পিড ইন্টিগ্রেটেড সার্কিট) হার্ডওয়্যার ডেসক্রিপশন ল্যাঙ্গুয়েজ) ফিল্ড-প্রোগ্রামেবল গেট অ্যারে এবং ইন্টিগ্রেটেড সার্কিটের মতো ডিজিটাল সিস্টেমগুলি বর্ণনা ও ডিজাইনের জন্য বৈদ্যুতিন নকশা অটোমেশনে ব্যবহৃত একটি হার্ডওয়্যার বর্ণনার ভাষা।


2
এএসআইসি ডিজাইন কীভাবে এফপিজিএ এইচডিএল সংশ্লেষণের থেকে আলাদা?
জিলিনেক্স আইএসই, ল্যাটিক্স ডায়মন্ড ইত্যাদি এফপিজিএ / এইচডিএল সরঞ্জাম স্যুটগুলির সাথে আমার কিছু অভিজ্ঞতা ছিল The আমি কয়েকজনকে শুনেছি ASIC নকশাটি খুব আলাদা। দুটি বড় ধরণের এএসআইসি, গেট লেভেল এএসআইসি এবং ট্রানজিস্টর স্তরের এএসআইসির জন্য ব্যবহৃত টুলসেটগুলি কী কী? আমি উচ্চ স্তরের সংশ্লেষের সরঞ্জামগুলি যেমন ক্যাটপল্ট সি এবং ক্যাডেন্স সি …
42 fpga  vhdl  verilog  software  asic 

7
একটি এইচডিএলে একটি সিপিইউর পাঠযোগ্য এবং শিক্ষামূলক বাস্তবায়ন
আপনি কি ভিএইচডিএল বা ভেরিলগের সিপিইউতে একটি পাঠযোগ্য এবং শিক্ষাগত প্রয়োগের প্রস্তাব দিতে পারেন? সাধারণত কিছু ভাল ডকুমেন্টেড। PS আমি জানি আমি দেখতে পারি opencoresতবে আমি বিশেষভাবে স্টাফগুলিতে আগ্রহী লোকেরা আসলে দেখেছিল এবং আকর্ষণীয় বলে মনে হয়েছে। PS2। স্তন্যপায়ী ট্যাগ সম্পর্কে দুঃখিত, তবে নতুন ব্যবহারকারী হিসাবে আমি নতুন কোনও তৈরি …


6
ভিএইচডিএল: একটি INTEGER প্রকার থেকে একটি STD_LOGIC_VECTOR এ রূপান্তর করা
আমি একটি Mod-16 কাউন্টার তৈরি করেছি, এবং আউটপুট ফলাফলটি একটি INTEGER (সমস্ত উদাহরণ আমি INTEGER ব্যবহার করে দেখেছি)। আমি একটি হেক্স-টু-se-সেগমেন্ট-ডিসপ্লে ডিকোডার তৈরি করেছি এবং এর ইনপুটটি একটি এসটিডি_লজিক_ভেক্টর (এটি সেভাবে লিখেছিল কারণ সত্যের টেবিলটি মানচিত্র করা সহজ ছিল)। আমি কাউন্টারটির আউটপুটটিকে ডিকোডারটির ইনপুটটির সাথে সংযুক্ত করতে চাই, তবে কোয়ার্টাসআইআইতে …
28 vhdl 

17
ভিএইচডিএল এবং এফপিজিএ তত্ত্ব শেখার জন্য সবচেয়ে সাশ্রয়ী মূল্যের এফপিজিএ দেব কিট?
লক । এই প্রশ্নটি এবং এর উত্তরগুলি লক করা আছে কারণ প্রশ্নটি অফ-টপিক তবে historicalতিহাসিক তাত্পর্যপূর্ণ। এটি বর্তমানে নতুন উত্তর বা মিথস্ক্রিয়া গ্রহণ করছে না। আমি এমন কিছু সন্ধান করছি যা আমি প্রায় খেলতে পারি তবে খুব বেশি ব্যয় করা যায় না। আমি কোনও একাডেমিক ছাড়ের যোগ্য নই, তাই পরামর্শ …
27 fpga  vhdl 

4
ভিএইচডিএল: উপাদান বনাম সত্তা
আমি ভাবছি যে উপাদান একটি সত্তা মধ্যে পার্থক্য কি। আমি জানতে চাই কোন ক্ষেত্রে সত্ত্বার পরিবর্তে উপাদানগুলি ব্যবহার করা ভাল। তোমাকে অনেক ধন্যবাদ.
25 vhdl  components 

11
ভিএইচডিএল সাক্ষাত্কারের প্রশ্ন - বাকীটি ছাড়াই কোনও সংখ্যাকে 5 দিয়ে ভাগ করা যায় কিনা তা নির্ধারণ করে
আমি ভিএইচডিএলের জন্য একটি দুর্দান্ত সাক্ষাত্কারের প্রশ্ন দেখেছি - এমন একটি সিস্টেম তৈরি করুন যা একটি নম্বর পায় এবং সনাক্ত করে যদি এটি বাকী ছাড়াই 5 দিয়ে ভাগ করা যায়। আমি এটি একটি রাষ্ট্রীয় মেশিন দিয়ে সমাধান করার চেষ্টা করেছি (আমি মনে করি তারা আপনাকে মোড বা রিম ব্যবহার করতে …

4
std_logic বা std_ulogic?
দেখে মনে হয় যে বিশ্ব সিদ্ধান্ত নিয়েছে যে std_logic(এবং std_logic_vector) ভিএইচডিএলে বিট উপস্থাপনের ডিফল্ট উপায়। বিকল্পটি হবে std_ulogic, যা সমাধান হয়নি not এটি আমাকে অবাক করে দেয় কারণ সাধারণত, আপনি কোনও বাস বর্ণনা করছেন না , তাই আপনি একাধিক ড্রাইভার চান না এবং আপনার কোনও সংকেত সমাধান করার দরকার নেই …
24 vhdl 

7
আমি কীভাবে এইচডিএল শিখব
আমি এই সেমিস্টারে ডিজিটাল ডিজাইনের একটি কোর্স পেয়েছি এবং কেবল এটি পছন্দ করি। এখন আমি জানি যে এমবেডেড সিস্টেম এবং ডিজিটাল ডিজাইনের বেশিরভাগ কাজ প্রথমে কম্পিউটার সিমুলেটরগুলিতে করা হয় এবং তারপরে হার্ডওয়্যার ব্যবহার করে প্রয়োগ করা হয়। সুতরাং আমি ভাবছিলাম যে কীভাবে আমার এইচডিএল শিখতে হবে? আমার কয়েকটি প্রশ্ন আছে …
24 simulation  vhdl  verilog  hdl 

4
ভিএইচডিএল যা এফপিজিএর ক্ষতি করতে পারে
আমি কোথাও পড়েছি খারাপ ভিএইচডিএল কোড এফপিজিএ ক্ষতি হতে পারে। ভিএইচডিএল কোড দিয়ে কোনও এফপিজিএ ক্ষতিগ্রস্ত করা কি সম্ভব? কোন ধরণের পরিস্থিতি এটির কারণ হতে পারে এবং সবচেয়ে খারাপ পরিস্থিতিগুলি কী?
22 fpga  vhdl 

4
জিএনইউ / লিনাক্স পরিবেশের জন্য ভিএইচডিএল আইডিই
আমাকে 0 থেকে ভিএইচডিএল অধ্যয়ন করতে হবে এবং আমি একটি বিকল্প চাই যা এনটি / উইন্ডোজের পরিবর্তে লিনাক্স কার্নেলের অধীনে চলে: কোন টিপস? আমি একটি শিক্ষানবিস জন্য ভাল ভিএইচডিএল সংস্থান কিছু ভাল লিঙ্ক সত্যই প্রশংসা করতে পারেন, ধন্যবাদ।
19 vhdl  ide 

1
সফট-সিপিইউ যাচাইকরণ
আমি বর্তমানে জিলিনেক্স আইএসই এবং আইএসআইএম ব্যবহার করে ভিএইচডিএলে একটি সাধারণ সিপিইউ ডিজাইনের প্রক্রিয়াধীন। ডিজাইনের অংশটি দুর্দান্তভাবে চলছে, তবে আমি ধারাবাহিকভাবে যাচাই করার কোনও উপায় খুঁজে পাচ্ছি বলে মনে হয় না। এই মুহুর্তে আমার একটি ভিএইচডিএল পরীক্ষা বেঞ্চ রয়েছে যা আমি যে কোনও নির্দিষ্ট মুহুর্তে কাজ করছি সেই ফাংশনটি পরীক্ষা …
18 fpga  vhdl  cpu  test 

3
ভিএইচডিএল / এফপিজিএ দিয়ে শুরু করার জন্য কোনও নেটিভ ম্যাক ওএস এক্স পরিবেশ?
শিরোনামটি আমার প্রশ্নের যথেষ্ট পরিমাণে জবাব দেয়: ভিএইচডিএল / এফপিজিএ দিয়ে শুরু করার জন্য কোনও নেটিভ ম্যাক ওএস এক্স পরিবেশ আছে কি?
18 fpga  vhdl  mac 

3
ভিএইচডিএল: সংশ্লেষণের জন্য পূর্ণসংখ্যা?
আমি যদি সংশ্লেষণ সংকেত এবং বন্দর ইত্যাদির জন্য ভিএইচডিএলে পূর্ণসংখ্যার ব্যবহার করা উচিত তখন আমি কিছুটা বিভ্রান্ত হয়ে পড়েছি আমি শীর্ষ স্তরের বন্দরে std_logic ব্যবহার করেন, কিন্তু অভ্যন্তরীণভাবে আমি ছিল সব জায়গায় বেশি সীমাকৃত পূর্ণসংখ্যার ব্যবহার করে। যাইহোক, আমি লোকদের কয়েকটি রেফারেন্সে হোঁচট খেয়েছি যে, আপনার কেবল সংশ্লেষ-লক্ষ্যযুক্ত কোডের জন্য …
17 vhdl  synthesis 

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.