আপনি কি আজকাল ভিএইচডিএল ব্যবহার করেন?


11

আমি একজন বৈদ্যুতিক প্রকৌশল ছাত্র এবং আমি ভিএইচডিএল হিসাবে পরিচিত হার্ডওয়্যার বর্ণনার ভাষা অধ্যয়ন করছি। আমি গুগলে এটির জন্য আইডিই খুঁজছিলাম (আমি ম্যাকের উপরে আছি), তবে এই ভাষাটি বেশ মৃত বলে মনে হচ্ছে।

সুতরাং এখানে আমার প্রশ্ন: বৈদ্যুতিক প্রকৌশলী হিসাবে আমার ভবিষ্যতের চাকরিতে কি ভিএইচডিএল আমার পক্ষে কার্যকর হবে? আপনি এটি ব্যবহার করছেন?

আপডেট: উত্তরের জন্য আপনাকে সবাইকে ধন্যবাদ, আমি আমার প্রথম ছাপে স্পষ্টতই ভুল করেছিলাম।


12
কী ভাবেন যে ভিএইচডিএল মারা গেছে?
কেলেনজব

আমি একটি প্রোগ্রামিং ব্যাকগ্রাউন্ড থেকে আসছি এবং সম্ভবত আমার বাস্তবের একটি বিকৃত দৃষ্টি রয়েছে, দুটি ভিন্ন ক্ষেত্রের সাথে তুলনা করার চেষ্টা করছি।
ফ্রান্সেস্কো

আমি আলটিরা এবং জিলিনেক্স এফপিজিএ সহ ভিএইচডিএল ব্যবহার করি। এটি ভেরিলোগের মতো আলটিরা কোয়ার্টাস দ্বিতীয় এবং জিলিনেক্স আইএসই আইডিই দ্বারা সমর্থিত। ভিএইচডিএল এবং ভেরিলোগ জনপ্রিয়তায় প্রায় সমান বলে মনে হচ্ছে।
লিওন হেলার

5
@ ফ্রান্সেসকো আপনি অন্যান্য অপারেটিং সিস্টেমের জন্য আইডিই বিবেচনা করেছেন? আমি যা শুনি এবং দেখি (তবে আমি ভুল হতে পারি) সাধারণভাবে ম্যাকিনটোসের ক্ষেত্রে বৈদ্যুতিক ইঞ্জিনিয়ারিং সফ্টওয়্যারটির স্বতন্ত্র অভাব রয়েছে।
AndrejaKo

লিওনের মন্তব্যে, ভিএইচডিএল বনাম
ভেরিলোগ

উত্তর:


23

আমি কেবল ভিএইচডিএল ব্যবহার করি। এটা মৃত থেকে দূরে। কয়েক বছর আগে এটি ভিএইচডিএল বা ভেরিলোগ (সর্বোত্তম উপাখ্যান্য প্রমাণ) ব্যবহার করে এমন লোকদের মধ্যে 50/50 বিভক্ত হওয়ার মতো মনে হয়েছিল তবে আমি সন্দেহ করি যে এর পরে এটি অনেকটা পরিবর্তিত হয়েছে।

ভিএইচডিএল এর সাম্প্রতিকতম সংস্করণটি হ'ল "ভিএইচডিএল-২০০৮", যা ভাষার মানদণ্ডে গতকাল ছিল।


প্রায় তিন বছর আগে গ্যারি স্মিথ ইডিএর একটি বাজার প্রতিবেদনে (সিস্টেম) ভেরিলোগের জন্য দ্রুত বৃদ্ধি সহ একটি 50/50 বিভক্ত হওয়ার ইঙ্গিত দেওয়া হয়েছিল।
ফিলিপ

16

আপনি যদি প্রোগ্রামেবল লজিক (যেমন এফপিজিএগুলি, এমসিইউ নয়) নিয়ে কাজ করার পরিকল্পনা করেন তবে ভিএইচডিএল এবং ভেরিলোগ এই দুটি ভাষা যা আপনার জানতে হবে। একজন ছাত্র হিসাবে, আপনাকে সম্ভবত উভয়ই শিখতে হবে, উভয়ই ব্যবহার করতে হবে এবং উভয়কেই পরীক্ষা করতে হবে। এটি অবশ্যই আমার ক্ষেত্রে ছিল (এবং আমি কেবল ASIC নকশায় কয়েকটি কোর্স নিয়েছি) যদিও এটি অনেক দিন আগে ছিল।

সম্ভাবনাগুলি হয় ভিএইচডিএল বা ভেরিলোগ আপনার পক্ষে পছন্দনীয়। ভেরিলোগের জন্য আমার ব্যক্তিগত পছন্দ রয়েছে, তবে জেনে উভয়ই সাহায্য করে।

ভবিষ্যতের ইঞ্জিনিয়ার হিসাবে, আপনি যদি ভেরিলোগ এবং ভিএইচডিএল উভয়ই ব্যবহার করতে পারেন তবে আপনি এফপিজিএগুলি (এবং অনুরূপ প্রযুক্তি) দিয়ে ডিজাইনে ভাল চাকরি পাওয়ার সম্ভাবনা প্রায় দ্বিগুণ করতে পারেন।

আপনার পছন্দটি যতটা সম্ভব অপ্রাসঙ্গিক (আপনার কাছে) হিসাবে করার চেষ্টা করা উচিত, ব্যক্তিগত পছন্দগুলি আলাদা করে রাখুন। একটি ভাষা কেবল একটি লক্ষ্য অর্জনের একটি মাধ্যম, নিজের মধ্যে শেষ নয়। নিজেকে ভাগ্যবান মনে করুন সেখানে কেবল দুটি বড় এইচডিএল রয়েছে। আপনি যদি কম্পিউটার বিজ্ঞানী হন তবে আপনাকে প্রোগ্রামিং ভাষার বিভিন্ন ডজন পরিবার পুরোপুরি শিখতে হবে এবং কয়েক ঘন্টার মধ্যে একটি নতুন শিখতে সক্ষম হতে হয়েছিল এবং কয়েকদিনের মধ্যে এর প্রতিমাটি বুঝতে পেরেছিলেন।

একদিকে: প্রোগ্রামিং ল্যাঙ্গুয়েজ (ট্যুরিং মেশিনগুলির অপারেশন নিয়ন্ত্রণের জন্য ব্যবহৃত) এবং হার্ডওয়্যার বর্ণনার ভাষাগুলি (হার্ডওয়্যার কনফিগারেশন নিয়ন্ত্রণ করার জন্য ব্যবহৃত) সম্পূর্ণ আলাদাভাবে বিভিন্ন জিনিস, যদিও বেশিরভাগ এইচডিএলগুলির মধ্যে স্ট্রাকচার থাকে যা সেগুলি প্রোগ্রামিং ভাষার মতো দেখায়, বা তাদের প্রোগ্রামিং করে তোলে ভাষায় এছাড়াও । যদি এটি বিভ্রান্তিকর হয় তবে কেবল স্বীকার করুন যে আপনি ভিএইচডিএলে একটি কম্পিউটার অপারেটিং সিস্টেম লিখতে পারবেন না, ঠিক তেমনই আপনি সিতে কোনও আরআইএসসি সিপিইউ বর্ণনা করতে পারবেন না same


6

ভিএইচডিএল খুব একটা মৃত ভাষা নয়। আপনার সমস্যাটি হ'ল আপনি ম্যাক ওএস এক্সে ভিএইচডিএল প্রোগ্রামিংয়ের জন্য সরঞ্জামগুলি সন্ধান করছিলেন Unfortunately দুর্ভাগ্যক্রমে, কোনও ম্যাক থেকে শালীন এইচডিএল (ভেরিলগ বা ভিএইচডিএল) প্রোগ্রামিং করার জন্য খুব কম বিকল্প রয়েছে। আমি জানি একমাত্র আসল বিকল্পটি (যেখানে বাস্তবটি বেশ নমনীয় বিশেষণ) হ'ল আইকারাস ভেরিলোগ সিমুলেটর

অন্য আসল বিকল্পটি এবং আমি যেটি চয়ন করি তা হ'ল আপনার ম্যাকটি বুট করুন এবং সেইভাবে উইন্ডোজ বা লিনাক্স ভিত্তিক সরঞ্জামগুলি বেছে নিন।


2

হ্যাঁ, আমি প্রতিদিনের ভিত্তিতে ভিএইচডিএল ব্যবহার করি। এবং সি ++, সি, মতলব, পাইথন । কম ঘন ঘন আমি টিসিএল, পার্ল, মেকফিলস, ব্যাশ-স্ক্রিপ্টস এমনকি সিএমডি স্ক্রিপ্টগুলিও ব্যবহার করি (আগ!)


1

ভিএইচডিএল অবশ্যই মৃত নয়। এটি ভেরিলোগ ভাষার সাথে প্রতিযোগিতা করে (বা আরও সঠিকভাবে, ভেরিলোগের সাসসেসর, সিস্টেমভাইরিলোগের সাথে)।

আমার বোধগম্যতা হ'ল icallyতিহাসিকভাবে যে কোনও কারণেই ভিএইচডিএল ছিল এফপিজিএ ডিজাইনের জন্য আরও সাধারণ ভাষা এবং এএসআইসি ডিজাইনের বিপরীত।

ভাষাগুলি সিনট্যাটিকভাবে বরং পৃথক, তবে বীর্যপাতগতভাবে যথেষ্ট সমান যে ডিজাইনের উদ্দেশ্যে সেগুলি প্রায় বিনিময়যোগ্য। এটি বেশিরভাগ প্রতিষ্ঠানের উপর নির্ভর করে যা ব্যবহৃত হয়।

এখন প্রোগ্রামিং ভাষার তুলনায় (ভিএইচডিএল এবং ভেরিলোগ হ'ল এইচডিএল (হার্ডওয়্যার ডেসক্রিপশন ল্যাঙ্গাউজস, প্রোগ্রামিং ল্যাঙ্গুয়েজ নয়) অনেকগুলি সার্থক মুক্ত সরঞ্জাম নেই। সেরা সরঞ্জামগুলি সাধারণত ব্যয়বহুল কমিকেরাল পণ্য (যদিও তারা প্রায়শই নিখরচায় একাডেমিক লাইসেন্স দেয়)।


1

আমি ভিএইচডিএল ব্যবহার করতাম কারণ স্কুলে আমাকে যা শেখানো হয়েছিল এটিই ছিল। আমি এখন ভেরিলোগটি কেবলমাত্র ব্যবহার করি কারণ এটি কেবলমাত্র ওপেন সোর্স এফপিজিএ / এইচডিএল সরঞ্জামগুলি সমর্থন করে যেমন ইওএসওয়াইএস, আইসস্টোরম, প্রজট্রেলিস ইত্যাদি। আমার কাছে একটি ম্যাক রয়েছে তাই আমাকে ওপেন সোর্স এফপিজিএ প্রোগ্রামার এবং কম্পাইলারগুলি জিলিনেক্স, আল্টেরা না হিসাবে ব্যবহার করতে হবে ল্যাটিস ওএস এক্স-এর জন্য তাদের সরঞ্জামগুলি প্রকাশ করেছে One কেউ ওয়াইন ব্যবহার করতে পারে তবে আমি ওপেন সোর্স সরঞ্জামগুলি দ্রুততরতার অর্ডার হিসাবে আবিষ্কার করেছি (তারা মুক্ত কিনা তা উল্লেখ করার জন্য নয়)।

সবশেষে, ভেরিলোগ ব্যবহারের জন্য আমার কাছে সবচেয়ে বড় যুক্তিটি হ'ল সরঞ্জাম ভেরিলাটর। ভেরিলাটর আপনাকে সি কোডে ভেরিলোগ সংকলন করতে এবং আক্ষরিকভাবে আপনার কম্পিউটারে এমন হার্ডওয়্যার ইনস্ট্যান্ট করতে দেয় যা অন্যান্য লাইব্রেরির সাথে যোগাযোগ করতে পারে। আমি এইচডিএলে কনভ্যোলিউশনাল নেটওয়ার্ক ডিজাইন করি, সুতরাং এর অর্থ আমি আমার ভার্চুয়াল এফপিজিএতে পাইথন পুশ ডেটা রাখতে পারি এবং একটি চিত্র ফিরে পেতে পারি। এমন কি পাগল জিনিসগুলি শুনেছি যেখানে জিপসিপিইউর স্রষ্টা তার ভার্চুয়াল এফপিজিএতে ডেটা স্ট্রিমগুলি লোড করে এবং মিথস্ক্রিয়া করেছিলেন। ভেরিলাটর দুর্ভাগ্যক্রমে কেবল ভেরিলোগ সমর্থন করে - তবে এটি যা তা।

সব কিছু বাদ দিয়ে আমার এম্বেড হওয়া সিস্টেমের অধ্যাপক বলেছিলেন যে ভিএইচডিএল historতিহাসিকভাবে শিক্ষা এবং সরকারী ব্যবহারের জন্য জনপ্রিয় কারণ এটি প্রথম থেকেই একটি উন্মুক্ত মান। 10 বা এত বছর ধরে বন্ধ থাকার পরে, ভেরিলোগ অবশেষে একটি উন্মুক্ত মান হিসাবে প্রকাশিত হয়েছিল। এই সময়ের মধ্যে, ভিএইচডিএল ইতিমধ্যে তার চিহ্ন রেখে গেছে।


0

আমি অন্যান্য উত্তরগুলি প্রতিধ্বনিত করে বলব ভিএইচডিএল মৃতের থেকে অনেক দূরে। এফপিজিএ ডিজাইন করতে আপনি বেছে নিতে পারেন এমন দুটি ভাষার মধ্যে একটি। অন্যান্য উত্তরে যেমন বলা হয়েছে, ভেরিলোগ হ'ল আপনার একমাত্র অন্য পছন্দ। থিসফার আমি কেবলমাত্র ভিএইচডিএল ব্যবহার করে এমন জায়গাগুলিতে কাজ করেছি (এটি আঞ্চলিক বলে মনে হচ্ছে, কোন ভাষাটি ব্যবহৃত হবে)। আপনি যদি যেকোন একটিতে নকশার সরঞ্জাম চান তবে আমি জিলিনক্সের এক্সএসটি স্যুট বা আল্টেরার কোয়ার্টাস স্যুটটি বাছাইয়ের পরামর্শ দেব।

আপনি যদি ভিএইচডিএল বেঁচে আছেন কিনা সে সম্পর্কে ভাল গেজ পেতে চান, তবে ভিএইচডিএল-এর জন্য ডাইস ডট কম, দৈত্য ডটকম, বা প্রকৃতপক্ষে ডটকমের মতো কিছু চাকরী-শিকারের সাইট অনুসন্ধান করার চেষ্টা করুন। আপনি স্ট্যান্ডার্ড সি / সি ++ প্রোগ্রামিং, তবে খুব আকাঙ্ক্ষিত একটি কুলুঙ্গি এর আরও কিছুটা খুঁজে পাবেন।


0

আমি ইনটেল এবং কোয়ালকম, পাশাপাশি বিভিন্ন প্রতিরক্ষা শিল্প সংস্থায় এবং স্টার্টআপগুলিতে ভিএইচডিএল ব্যবহার করেছি।

কোয়ালকমের এমএসএম চিপগুলি যা সেল ফোনে যায় সেগুলি ভিএইচডিএলে লেখা হয়। আমি অন্যান্য পোস্টারগুলির সাথে একমত যে এটি আঞ্চলিক বলে মনে হচ্ছে।


0

বৈদ্যুতিক ইঞ্জিনিয়ারিং একটি বিস্তৃত ক্ষেত্র এবং আপনি আরএফ বিশেষীকরণের সিদ্ধান্ত নিলে আপনার কখনই ভিএইচডিএল প্রয়োজন হবে না। তবে আপনি যদি ডিজিটাল হার্ডওয়্যার এবং / বা এফপিগা ডিজাইনে যাচ্ছেন তবে আপনার প্রয়োজন হয় ভিএইচডিএল বা ভেরিলোগ এবং টিসিএল, পার্ল, পাইথন এবং মতলব এর মতো অন্যান্য স্ক্রিপ্টিং ভাষার একগুচ্ছ। ভিএইচডিএল এবং ভেরিলোগের মধ্যে পছন্দ নিয়ে খুব বেশি উদ্বিগ্ন হওয়ার দরকার নেই। আপনার নকশা প্রকাশ করার জন্য এগুলি কেবল একটি ভাষা। ডিজিটাল ডিজাইনের মূলসূত্রগুলি একই থাকে।


0

অন্যরা যেমন বলেছে, ভিএইচডিএল এবং ভেরিলোগ ডিজিটাল হার্ডওয়্যার ডিজাইন বর্ণনা করতে ব্যবহৃত হয়। তারপরে কোডটি একটি "সংশ্লেষণ" সরঞ্জাম দ্বারা প্রক্রিয়া করা হয় যা যুক্তি উত্পন্ন করে যা আমাদের বর্ণিত হার্ডওয়্যার অর্জন করবে যা মূলত নেটলিস্টে ফলাফল দেয়।

ভিএইচডিএল ইউরোপে এবং ভেরিলোগ মার্কিন যুক্তরাষ্ট্রে বেশি জনপ্রিয়। তবে এ দুটিই শেখা ভাল best একটি চাকরির চাকরিতে আপনি কেবলমাত্র তাদের মধ্যে একটি ব্যবহার করবেন। যাইহোক, উভয়ই কোড পড়তে হতে পারে।

আমি কয়েক বছর ধরে ইঞ্জিনিয়ার হয়েছি এবং দেখেছি ভিএইচডিএল সব ক্ষেত্রেই ব্যবহৃত হচ্ছে। আমি ইউকে থেকে এসেছি।

বিতর্কটির প্রাথমিক বিষয়টি হ'ল যেহেতু ডিজাইনগুলি বছরের পর বছরগুলিতে এত জটিল হয়ে উঠেছে, তাই নকশা যাচাইয়ের ক্ষেত্রে আমাদের নতুন পদ্ধতির প্রয়োজন। আমাদের ডিজাইনটি ইচ্ছাকৃতভাবে কাজ করে তা প্রমাণ করতে আমরা এখানে সিমুলেশন ব্যবহার করি। এটি নকশা চক্রের সবচেয়ে গুরুত্বপূর্ণ পর্যায়ে এবং এক যেখানে বেশিরভাগ সময় ব্যয় করা হয়।

বহু বছর আগে, ভেরিলগের শক্তিশালী বৈশিষ্ট্যগুলি যুক্ত করার জন্য সিস্টেমভাইরিলগ নামক একটি ভাষা তৈরি করা হয়েছিল যা এর পরে তার নকশা যাচাইকরণের দক্ষতার নকশা উন্নত করতে ব্যবহার করা যেতে পারে। SystemVerilog এর মধ্যে ভেরিলোগ এবং আরও অনেক কিছু রয়েছে। সিস্টেমভেরিলোগ একটি এইচভিএল অর্থাৎ হার্ডওয়্যার যাচাইকরণ ভাষা, যখন ভেরিলোগ এবং ভিএইচডিএল এইচডিএল অর্থাৎ হার্ডওয়্যার বর্ণনার ভাষা। এটি ভিএইচডিএলকে দুর্বল হান ভেরিলগকে দেখায়, যেহেতু যদি কেউ জটিল ডিজাইন লেখার জন্য কোনও একক ভাষা এবং সফ্টওয়্যার ব্যবহার করতে চায় এবং বাধ্যতামূলক র্যান্ডম উদ্দীপনা জেনারেশন এবং দৃ test় ভিত্তিক টেস্টবেঞ্চগুলির মতো জটিল কৌশলগুলি ব্যবহার করে তাদের যাচাই করতে চায় তবে তাদের সিস্টেমভেড়িগ নির্বাচন করতে হবে এবং ভিএইচডিএল ছাড়তে হবে। যাইহোক, অতি সম্প্রতি ওএসভিভিএম নামে একটি পদ্ধতি তৈরি করা হয়েছে যা সিস্টেমভাইরোগে কিন্তু ভিএইচডিএলে পাওয়া একই বৈশিষ্ট্যগুলি অর্জন করতে ভিএইচডিএল -2008 এর সুবিধা গ্রহণ করে।

এই সময়ে, আপনি হয় শিখতে এবং নিরাপদ হতে পারে।


-1

আমাকে বলতে হবে ভিএইচডিএল মারা যাচ্ছে। কারণ:

  1. vhdl2008 এখনও ইডিএ দ্বারা সম্পূর্ণরূপে সমর্থিত নয়। এটি এখন 2018
  2. গ্রন্থাগারগুলি ঠিক আছে। তবে আপনি যদি অভিনব বৈশিষ্ট্যগুলি চান তবে এটি খুব শক্ত। উদাহরণস্বরূপ, ieee_proposedইন্টারনেটে অনেকগুলি প্রশ্ন রয়েছে । ফাইল আইও পাগল।
  3. আমি কঠোর শৈলী পছন্দ করি তবে এটি অসুবিধে বা অনর্থক হওয়া উচিত নয়। v2008 এটি আরও ভাল করে তোলে তবে এটি এখনও ইডিএ দ্বারা পুরোপুরি সমর্থিত নয়। সুতরাং, আমি এখনও v93 ব্যবহার করি।
  4. সিস্টেমভেরিলগ ডোমেন যাচাইকরণ।

আমি জানি কিছু সংস্থা আছে যেগুলি আরটিএল ডিজাইন সম্পর্কিত ভিএইচডিএল থেকে এসভিকে একটি উচ্চ অগ্রাধিকারে ফেলেছে। শিক্ষানবিসের জন্য, কেবল এসভি শিখুন।


-3

ভিএইচডিএল হ'ল শয়তানের ভাষা। সমস্ত বাণিজ্যিক শিল্প এবং পশ্চিম উপকূলের সামরিক ব্যবহার ভেরিলোগ। পূর্ব উপকূলে কেবল পুরাতন ডাইনোসর সামরিক সংস্থাগুলি (বিএই এর মতো) ভিএইচডিএল ব্যবহার করে। ভেরিলোগ শ্লোক ভিএইচডিএল ব্যবহার করার সময় এটি টাইপিংয়ের প্রায় 50% হ্রাস। এখন আপনি পূর্ব উপকূলের সামরিক সংস্থাগুলি অবশেষে ভেঙে পড়ে এবং ভেরিলোগ গ্রহণ করতে শুরু করছেন। আপনি কি কখনও সিস্টেম ভিএইচডিএল সম্পর্কে শুনেছেন, না? ভিএইচডিএল অবশেষে সফটওয়্যার ল্যাঙ্গুয়েজ অ্যাডা এর মতো পথে চলে যাবে।


3
বিশ্বের বাকি
অংশগুলি

1
আপনি ওএসভিভিএম পদ্ধতিটি ভুলে গেছেন যা ভিএইচডিএলকে সিস্টেমভেরিলোগের সাথে মেলে।
কোয়ান্টাম 231

"সিস্টেম ভিএইচডিএল" এর খুব কম প্রয়োজন বলে মনে হচ্ছে কারণ ভ্যানিলা ভিএইচডিএল ইতিমধ্যে সিস্টেমভেরিলোগ ভ্যানিলা ভেরিলোগের উপর নজর দেওয়ার চেষ্টা করে many এছাড়াও, সুরক্ষা টাইপটি দুর্দান্ত।
রিচার্ড স্পেসক্যাট
আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.