std_logic
একটি রেজুলেশন ফাংশন আছে
নয় std_logic
ব্যতীত আরো উপযোগী রাজ্যের আছে 1
এবং 0
এটি একটি রেজল্যুশন ম ফাংশন পূর্বনির্ধারণ রয়েছে।
একটি রেজোলিউশন ফাংশন একটি ভিএইচডিএল ভাষা ধারণা। এটি একটি ফাংশন যা কোনও প্রকারের সাথে সম্পর্কিত এবং এটি নির্ধারণ করে যে যখন কোনও ধরণের একাধিক মান একক সিগন্যালে প্রয়োগ করা হয় তখন কী ঘটে। বাক্য গঠনটি হ'ল:
SUBTYPE std_logic IS resolved std_ulogic;
std_ulogic
অমীমাংসিত (এবং এইভাবে অনেক কম দরকারী) সংস্করণটি কোথায় std_logic
।
বিশেষত, এটি দুর্দান্ত জিনিসগুলির মতো বোঝায় 0
এবং এতে 1
নেতৃত্ব দেয় X
:
library ieee;
use ieee.std_logic_1164.all;
entity std_logic_tb is
end std_logic_tb;
architecture behav of std_logic_tb is
signal s0 : std_logic;
begin
s0 <= '0';
s0 <= '1';
process
begin
wait for 1 ns;
assert s0 = 'X';
wait;
end process;
end behav;
এটি স্বজ্ঞাত জ্ঞান তৈরি করে, যেমনটি আমরা X
এমন এক রাষ্ট্র হিসাবে বুঝি যেখানে একক তারের সাথে একাধিক বেমানান মান প্রয়োগ করা হয়।
std_logic
এছাড়াও এলআরএম-তে উপস্থিত একটি সারণী অনুসারে কীভাবে প্রতিটি অন্যান্য সম্ভাব্য জোড়া ইনপুট সংকেত সমাধান করতে হয় তাও জানে।
bit
অন্যদিকে, কোনও রেজুলেশন ফাংশন নেই, এবং আমরা যদি এটি উপরের উদাহরণটিতে ব্যবহার করে থাকি তবে এটি GHDL 0.34 এ সিমুলেশন ত্রুটির দিকে পরিচালিত করবে।
এর সম্ভাব্য মানগুলি std_logic
একটি ভাল পছন্দ কারণ এটি আইইইই 1164 দ্বারা মানক করা হয় এবং প্রচলিত ব্যবহারের ক্ষেত্রে ডিল করে।