ভিএইচডিএল / এফপিজিএ দিয়ে শুরু করার জন্য কোনও নেটিভ ম্যাক ওএস এক্স পরিবেশ?


18

শিরোনামটি আমার প্রশ্নের যথেষ্ট পরিমাণে জবাব দেয়: ভিএইচডিএল / এফপিজিএ দিয়ে শুরু করার জন্য কোনও নেটিভ ম্যাক ওএস এক্স পরিবেশ আছে কি?

উত্তর:


10

সংক্ষিপ্ত উত্তর নাহ!

আমি এটি অতীতেও অনুসন্ধান করেছি এবং নিশ্চিত হয়েছি যে আপনি কোডটি লেখার জন্য কোনও পাঠ্য সম্পাদক ব্যবহার করতে পারেন তবে ম্যাক ওএস এক্স প্ল্যাটফর্মের জন্য কোনও মেজর (xilinx এবং আল্টেরা) কোনও ডিজাইনের প্রবাহ নেই, সুতরাং সংশ্লেষণ এবং স্থান এবং পথটি বাইরে নেই are ।

সিমুলেশন ফ্রন্টে, আমি একটি প্রোগ্রাম পেলাম যা ম্যাক প্ল্যাটফর্মের জন্য সিমুলেশন সম্পাদন করার দাবি করে, তবে সেই প্রোগ্রামটির গুণমান সম্পর্কে আমার সন্দেহ ছিল।

উইন্ডো বা লিনাক্সে দ্বৈত বুট (সমান্তরাল বা ফিউশন) সেরা বিকল্প Best লিনাক্স বলার পরেও, আমি নিশ্চিত নই যে আপনি লিনাক্স সমর্থন করার জন্য ফ্রি ওয়েবপ্যাকগুলি পেতে পারেন কিনা, সেগুলি কেবলমাত্র ফ্রি সরঞ্জামগুলির ভিত্তিতে উইন্ডোজ হতে পারে।

সুতরাং ডুয়াল বুট হয় বা উইন্ডোজ পিসি অ্যাক্সেস করতে দূরবর্তী ডেস্কটপ টাইপ জিনিস ব্যবহার করুন। এটি একটি বার্গার, আমি জানি তবে ম্যাকের মতো জীবন এটি :)


3
জিলিনেক্স, আল্টেরা এবং ল্যাটিস সবারই জিএনইউ / লিনাক্সের জন্য গ্র্যাটিস সরঞ্জাম রয়েছে।
ইয়ান ভার্নিয়ার

@ লোনটেক: বাইনারি হিসাবে, আমার ধারণা? সরঞ্জামগুলির জন্য উত্স নয়? লিনাক্স উইন্ডোজের চেয়ে কম ভাল। আমি সেই রুটটি তদন্ত করব…
ক্যালিন কলকশার

আমি কেবলমাত্র জিলিনেক্স এবং আল্টেরাতে একবার দেখেছি - ওয়েবপ্যাক সরঞ্জাম সংস্করণে লিনাক্সের জন্য এই মডেলসিম সরবরাহ করে না। মডেলসিম কেবল অর্থ প্রদানের সংস্করণগুলিতে উপলভ্য। আমি জালিয়াতি পরীক্ষা করিনি।
স্মটিস্টাস্টিক

যদিও জিলিনেক্স ওয়েবপ্যাকটিতে আইএসই সিমুলেটর রয়েছে। আপনার বিশেষভাবে মডেলস দরকার? আমার তাত্ক্ষণিক পরীক্ষায়, ল্যাটিসের সিমুলেশন ছিল না, তবে এখন তারা অ্যালডিক ল্যাটিক্স ওয়েব সংস্করণ II-র উল্লেখ করেছে। কোয়ার্টাস দ্বিতীয় ওয়েব সংস্করণের অংশ হিসাবে আল্টেরার একটি "মডেলসিম আল্টেটার স্টার্টার সংস্করণ" তালিকাবদ্ধ করুন।
ইয়ান ভার্নিয়ার

2
আহ, অকাল জমা দেওয়ার আবার চেষ্টা চলছে। অ্যালডেক সিমুলেটরটি কেবল উইন্ডোজ। এগুলি সমস্ত হ'ল মুক্ত-বাইনারি রয়েছে, তবে এখানে বেশ কয়েকটি বিনামূল্যে সিমুলেশন সরঞ্জাম রয়েছে যেমন আইকারাস ভেরিলোগ, জিএইচডিএল এবং ফ্রিএইচডিএল।
ইয়ান ভার্নিয়ার

13

সংক্ষিপ্ত উত্তর: হ্যাঁ

কয়েকটি সিমুলেটর রয়েছে: জিএইচডিএল একটি ওপেন সোর্স সিমুলেটর। মূলধারার সিমুলেটরগুলির মতো ভাল নয়, তবে এটি আপনাকে শুরু করবে।

নেই Sigasi , একটি VHDL নকশা এন্ট্রি এবং কোড ধী টুল, যা ম্যাক উপর কাজ করে। এটি আপনাকে সিমুলেটারে প্রেরণের আগে আপনাকে কোড লিখতে সহায়তা করবে।

সংশ্লেষণ সরঞ্জাম হিসাবে, আপনি Plunify এক নজর করা উচিত । অফার সংশ্লেষণটি "মেঘের মধ্যে", যাতে এটি কোনও প্ল্যাটফর্মের সাথে আবদ্ধ নয়।

আপনি সম্ভবত খুব তাড়াতাড়ি বা পরে ভিএমওয়্যার বা সমান্তরাল ব্যবহার করে শেষ করবেন, তবে নিশ্চিত আপনি আপনার ম্যাকের উপর ভিএইচডিএল ডিজাইন শুরু করতে পারেন।

(প্রকাশ: আমি সিগাসি দলে আছি)


সোর্সফোরেজের উপর ত্রিস্তান জিঙ্গল্ডস এবং ব্রায়ান ড্রামমন্ডের গডল-আপডেটস সাইট থেকে ওএস এক্স 10.9 -এর জন্য জিডিসি -১.০১ এর সরাসরি মেশিন কোড সংস্করণ রয়েছে । এছাড়াও নিক গ্যাসনের ভিএইচডিএল সংকলক এনভিসি ওএস এক্স-এ বিল্ড করে, কেবল -1993 সামঞ্জস্য থেকে কনফিগারেশন স্পেসিফিকেশন অনুপস্থিত। উভয়ই বর্তমানে -2008 সামঞ্জস্যের দিকে এগিয়ে চলেছে। একটি ওএস এক্স জিটিকেওয়েভ অ্যাপ্লিকেশন ডাউনলোডের জন্যও উপলব্ধ, উভয়ের জন্যই ব্যবহারযোগ্য।
ব্যবহারকারী 8352

0

সংক্ষিপ্ত উত্তর: হ্যাঁ, তবে সহজে নয়

icestorm একটি ওপেন সোর্স FPGA সংকলন টুলচেইন জন্য verilog উপর জাফরি ice40 FPGAs শুধুমাত্র। আমি ম্যাক ওএস এক্স এর অধীনে এটি সংকলন করেছি এবং নেটিভালি চালাতে সক্ষম হয়েছি, যদিও এটি সেট আপ করা লিনাক্সের অধীনে কাজ করার মতো তুচ্ছ নয়।

আইসস্টোমে কিছু সাধারণ উদাহরণ অন্তর্ভুক্ত থাকে যা এফপিজিএর জন্য সংশ্লেষের এইচডিএল পরিচিতির জন্য উপযুক্ত, তবে এগুলি ভার্চলগে রয়েছে, ভিএইচডিএল নয়।

সুতরাং, যদি আপনার হৃদয় কেবল ভিএইচডিএল শিখতে শুরু করে থাকে তবে আপনার ভেরিলোগ রূপান্তরকারীকে একটি ভিএইচডিএল লাগবে , কারণ ভিএইচডিএল সরাসরি সমর্থন করে না। আমি আসলে ম্যাক ওএস এক্স-তে তাদের মধ্যে একটিও চালাইনি, এই কারণেই সম্ভবত এই উত্তরটি হতে পারে

আপনি যদি মাইএইচডিএল দিয়ে শুরু করে এফপিজিএ ডিজাইনের প্রবর্তক হন (তবে এটি একটি পাইথন ইন্টারফেস যা উভয়ই হার্ডওয়ারকে অনুকরণ করতে পারে, পাশাপাশি এফপিজিএ সংশ্লেষণের জন্য ভিএইচডিএল বা ভেরিলোগ উভয়ই স্বয়ংক্রিয়ভাবে জেনারেট করতে পারে), তবে এটি সার্থক হতে পারে until এইচডিএল ডিজাইন, তারপরে ভিএইচডিএল এবং ভেরিলোগের সাথে বিশদে বিশদভাবে ড্রিল করা হচ্ছে।

আপনি যদি এফপিজিএর জন্য এইচডিএল সংশ্লেষণ শেখার বিষয়ে গুরুতর হন তবে আপনাকে সম্ভবত অবশেষে ভেরিলোগ এবং ভিএইচডিএল উভয়ের সাথে কমপক্ষে পাসের সাথে পরিচিত হতে হবে। বাণিজ্যিক সরঞ্জামগুলি উভয়কে সমর্থন করে, এমনকি একই প্রকল্পে একবারে, মডিউল বাই মডিউল ভিত্তিতে।

আরেকটি খুব দরকারী সিমুলেশন টুল (এটিও Mac OS X এর অধীনে চালায়, একটি প্যাকেজ মাধ্যমে এক-বা-অন্যান্য homebrew এবং macports এর) হল Verilator , যা এইচডিএল এর সংকলন জন্য করতে পারবেন চলমান উদ্দেশ্যে নেটিভ বাইনেরিতে দক্ষতার চলা সম্পূর্ণ এসসির সিমুলেটেড ফর্ম হয়। এটি করার একটি দুর্দান্ত এবং দরকারী উদাহরণ স্বাপফোর্টের অন্তর্ভুক্ত রয়েছে , যা make -C j1a clean bootstrapডাকা হলে ব্যবহৃত হয়। ভেরিলাটর প্রাথমিক ফোর্থ ইমেজটিকে তার নিজস্ব প্রাথমিক সফ্টওয়্যার ইনস্টলেশন সংকলন করার অনুমতি দেওয়ার জন্য ব্যবহৃত হয়, যা পরে নকশায় পুনরায় সংকলিত হয় ফলে ফলস্বরূপ এফপিজিএ অ্যাপ্লিকেশন পাওয়ার থেকে যা কিছু চালায়।

দয়া করে মনে রাখবেন যে ম্যাকোস এক্সে কাজ করার জন্য উপরের সমস্তগুলি একেবারেই তুচ্ছ - আপনি নিখোঁজ নির্ভরতা সনাক্তকরণ এবং ম্যাকপোর্টের পাশাপাশি হোমব্রিউয়ের মাধ্যমে সেগুলি ইনস্টল করার পাশাপাশি পাশাপাশি নোটগুলি আশপাশে শিকার এবং অনুসরণ করার বিষয়ে আপনাকে খুশি হতে হবে সম্পর্কিত

লিনাক্সের তুলনায় ম্যাক ওএস এক্স বেশিরভাগ স্তরের নাগরিক, কমপক্ষে এফপিজিএ সংকলনের উদ্দেশ্যে। আপনি দেখতে পাবেন যে এমনকি ফ্রিবিএসডি-র বাণিজ্যিক এফপিজিএ সরঞ্জামচেনগুলি চালানোর জন্য আরও ভাল সমর্থন রয়েছে (লিনাক্স বাইনারি সামঞ্জস্যতার স্তরের মাধ্যমে এতে ডারউইনের দুঃখজনকভাবে অভাব রয়েছে)।

ফলস্বরূপ, ডুয়াল-বুট বা ভার্চুয়ালাইজ লিনাক্সের পক্ষে এটি এখনও অনেকদূর সহজ, যাতে আপনি কেবল সরঞ্জামগুলি ফেলে দিতে এবং কাজ করতে পারেন। তবে একটি ব্যবহারযোগ্য ওপেন সোর্স এফপিজিএ সরঞ্জামচেন বিদ্যমান থাকার কারণে এটিকে ম্যাক ওএস এক্স এর অধীন স্থানীয়ভাবে চালানো সম্ভব is

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.