এফপিজিএ সম্পর্কিত বই সুপারিশ [বন্ধ]


12


কোন বইয়ের শিরোনামগুলি আপনি এফপিজিএ এবং ভিএইচডিএল দিয়ে শুরু করার পরামর্শ দিবেন?

সম্পাদনা
আমি লক্ষ্য করেছি যে প্রস্তাবিত কয়েকটি বই ১৯৯৯ সাল থেকে এসেছে I আমি কল্পনা করতে পারি যে উল্লিখিত ডিভাইসগুলি গুরুতরভাবে পুরানো হবে, তবে ভিএইচডিএল থেকেও কি খুব বেশি বিবর্তিত হয়েছে?


আমি উল্লেখ করেছি হাম্বলেন এবং আল বইটি খুব আপ টু ডেট এবং একটি বর্তমান ভিএইচডিএল সংকলক সহ সর্বশেষতম আল্তেরা সফ্টওয়্যার ব্যবহার করে।
লিওন হেলার

3
1996 একটি বড় সমস্যা হবে না; ১৯৯৩ সালে ভিএইচডিএল সংশোধিত হয়েছিল এবং এটি সম্ভবত আচ্ছাদিত হবে। ভিএইচডিএল -200 এক্স নামে রচনাগুলিতে একটি নতুন সংস্করণ রয়েছে, তবে আপডেটগুলি শেখা অবশ্যই প্রযুক্তি এবং নকশার স্টাইল শেখার মতো বড় প্রচেষ্টা হবে না।
ইয়ান ভার্নিয়ার

আমি এই বইটি ব্যবহার না করার পরামর্শ দিচ্ছি : amazon.com
ডিজিটাল- ইলেক্ট্রনিক্স- ভিএইচডিএল- কিয়ার্টস- ভার্সন

সি-এর মতো ভিএইচডিএলও বেশ স্থির। নতুন চিপগুলির মধ্যে আরও বেশি থাকলেও লজিক গেটগুলি সত্যিই পরিবর্তিত হয়নি। ডিভাইস নির্মাতাদের থেকে চিপ-নির্দিষ্ট এক্সটেনশনগুলি থাকতে পারে, তবে অন্তর্নিহিত কাঠামোটি পরিবর্তন করার দরকার নেই।
কনার ওল্ফ

উত্তর:


5

ডিজাইনারের ভিএইচডিএল এর গাইড, খণ্ড 3, আশেন্দেনের তৃতীয় সংস্করণটি বেশ ভাল। অন্য যেগুলি আমি দরকারী পেয়েছি তারা হ'ল স্কেহিল দ্বারা প্রোগ্রামযোগ্য লজিকের জন্য ভিএইচডিএল এবং ভিএইচডিএল মেড ইজি! পেরিলিন দ্বারা।


প্রথম দুটি শিরোনামের উত্তর হিসাবে স্বীকৃত। VHDL made easyএত ভাল প্রাপ্তি বলে মনে হচ্ছে না, সিক অ্যামাজন।
স্টিভেনভ

5

এই উত্তরগুলির পরিপূরক করতে - এফপিজিএ ডিভাইস, গ্রন্থাগারগুলি এবং নিজেরাই সরঞ্জামগুলির জন্য বিক্রেতার ডেটা শীট এবং অ্যাপ নোটের সাথে কিছু সত্য মানের সময় ব্যয় করার জন্য আরও অনেক কিছু বলা যায়। অন্যথায় আপনি কোনও উপকারী বৈশিষ্ট্য বা নির্দিষ্ট ডিভাইস, গ্রন্থাগার, বা যে সরঞ্জামগুলি আপনি ব্যবহার করতে যাচ্ছেন তার বিপজ্জনক ক্ষতি মিস করতে পারেন যা প্রায়শই একটি প্রাথমিক পাঠ্যপুস্তকে আবৃত হয় না। এছাড়াও পাঠ্যপুস্তকগুলি যেভাবে রাখে তার চেয়ে দ্রুত ডিভাইস এবং সরঞ্জামগুলির বিকাশ ঘটে।

আর্ট অফ হাই পারফরম্যান্স এফপিজিএ ডিজাইন http://www.fpgacpu.org/log/aug02.html#art এ আমার পুরানো বিটটিও দেখুন


4
  1. আমি প্রথম যে বইটি দিয়ে শুরু করব তা হ'ল পিটার জে আশেনডেনের ডিজাইনার গাইড টু ভিএইচডিএল । ধরে নিই যে আপনি প্রোগ্রামিংয়ের সাথে ইতিমধ্যে পরিচিত, তবে এই বইটি ভিএইচডিএল ভাষার ভাল রেফারেন্স।
  2. পরবর্তী আমি টেস্টবেঞ্চগুলি লেখার সাথে চালিয়ে যাব: জ্যানিক বার্গারন দ্বারা এইচডিএল মডেলগুলির কার্যকরী যাচাইকরণ । এটি কেবল ভিএইচডিএলকেই কভার করে না, তবে পরীক্ষার বেঞ্চগুলি এবং যাচাইয়ের জন্য কোড লেখার সময় গুরুত্বপূর্ণ বিষয়গুলির উপর দৃষ্টি নিবদ্ধ করে। আমি মনে করি এটি ডিজাইনারের গাইড টু ভিএইচডিএল এর চেয়ে বেশি সংশ্লেষণের জন্য ভিডিএইচএল এবং সংশ্লেষণের জন্য ভিএইচডিএল মধ্যে পার্থক্যকে জোর দেওয়া আরও ভাল কাজ করে ।
  3. এর পরে আমি আপনাকে এফপিজিএ বিক্রেতার সরবরাহিত এইচডিএল কোডিং গাইডলাইনগুলি অধ্যয়ন করার পরামর্শ দেব। আপনার ভিএইচডিএল কোডটি কীভাবে লিখবেন সে সম্পর্কে প্রচুর দরকারী টিপস সরবরাহ করে যাতে এটি নির্দিষ্ট করে দেওয়া ডিভাইসে পাওয়া হার্ডওয়ার আদিমগুলিতে দক্ষতার সাথে মানচিত্র তৈরি করে।
  4. এবং পরিশেষে: ডাউনলোড করুন, পড়ুন এবং বাস্তব-বিশ্বের কোডটি বোঝেন। আমি জিআরএলআইবি আইপি লাইব্রেরিকে অনুপ্রেরণার একটি দরকারী উত্স পেয়েছি । জিআরএলআইবি হ'ল একটি ওপেন-সোর্স এসসি লাইব্রেরি যা এসইএন থেকে SPARC প্রসেসরের উপর ভিত্তি করে।

3

হাম্বলেন, হল এবং ফুরম্যান দ্বারা ডিজিটাল সিস্টেমগুলির দ্রুত প্রোটোটাইপিং। এটি এফপিজিএগুলিতে খুব ভাল পরিচয় করিয়েছে (আল্টেরার হার্ডওয়্যার এবং সফ্টওয়্যার ভিত্তিক) এবং এতে প্রচুর আকর্ষণীয় প্রকল্প রয়েছে যা আল্টেরা থেকে পাওয়া স্বল্প ব্যয়ের বোর্ডে প্রয়োগ করা যেতে পারে। আমি একটি ফ্লেক্স 10 কে 10 এফপিজিএ ব্যবহার করে একটি ছোট পিসিবি ডিজাইন করেছি যা প্রথম সংস্করণে ছোট ছোট 8-বিট সিপিইউ সহ বেশিরভাগ উদাহরণের জন্য উপযুক্ত।

অ্যামাজনের মাধ্যমে আমি আমার অনুলিপি প্রায় 22 ডলারে কিনেছিলাম via এটি খুব ভাল মান।


GBP 65 এ <300 পৃষ্ঠাগুলির জন্য ঠিক সস্তা নয় :-(, তবে পরামর্শের জন্য ধন্যবাদ
স্টিভেনভ

একটি আল্টেরা সংস্করণও রয়েছে। কমপক্ষে NIOS বিভাগে, বর্তমান সফ্টওয়্যারটির সাথে দরকারী তবে পুরানো।
ব্রায়ান কার্লটন

2

কোনও ধরণের এইচডিএল (ভেরিলোগ, ভিএইচডিএল ...) শিখার সময় একটি বিষয় মাথায় রাখা জরুরী। এটি সফ্টওয়্যার প্রোগ্রামিং নয় এবং জিনিসগুলি সমান্তরালভাবে কাজ করে। বলা হচ্ছে, আমি খুঁজে পেয়েছি যে কোনও এইচডিএল শিখার সর্বোত্তম উপায় হ'ল হার্ডওয়্যারে কীভাবে চিন্তা করা যায় এবং হার্ডওয়্যারকে বর্ণনা করা যায় (এজন্য এটিকে একটি হার্ডওয়্যার বর্ণন ভাষা বলা হয়)।

এখনও অবধি, আমি খুব কমই এমন বই দেখেছি যা আপনাকে দেখায় যে কীভাবে আপনার এইচডিএল হার্ডওয়্যারে অনুবাদ হয়। আমি যখন স্নোপিসে ছিলাম তখন আমি একটির মাধ্যমে পড়েছি (কোড এবং স্কিম্যাটিকাসমৃদ্ধ পৃষ্ঠাগুলি) তবে এটি অভ্যন্তরীণ প্রকাশনা ছিল। যাইহোক, এমনকি এই বইয়ের অভাব থাকা সত্ত্বেও, ফ্রি-সফ্টওয়্যার সংশ্লেষণের মাধ্যমে আপনার কোডটি কীভাবে হার্ডওয়্যারে পরিণত হয় তা আপনি এখনও দেখতে পাচ্ছেন।

আমি যে কারণে চাপ দিতে চাই তার কারণ হ'ল সমস্যা সমাধানের অনেকগুলি উপায় রয়েছে। আপনি কেবল কোড লিখতে সক্ষম হবেন যা এটিকে দক্ষতার সাথে সমাধান করে, একটি গেট গণনা এবং সময়সীমা থেকে আপনি যদি বুঝতে পারেন যে এটি কীভাবে অন্তর্নিহিত হার্ডওয়্যারে অনুবাদ হয়।

শুভকামনা!


0

আমি অবশ্যই অধ্যাপক পং চু রচিত প্রতিটি বইয়ের সুপারিশ করছি ।

তারা ভেরিলগ বা ভিএইচডিএল ব্যবহার করে আরটিএল ডিজাইনের একটি অত্যন্ত বিস্তৃত ভূমিকা রাখে। তারা জিলিনেক্সের আলটিরা বা পিকোব্লেজ বা মাইক্রোব্লেজ (2017 সংস্করণ) থেকে নিওসের মতো traditionalতিহ্যবাহী নরম কোরের একটি পরিচয়ও সরবরাহ করে। কোডিং স্টাইলটি পরিষ্কার এবং এফএসএমডি (সীমাবদ্ধ রাষ্ট্রীয় মেশিন যা ডেটা পাথ নিয়ন্ত্রণ করে) এ অনুবাদ করার পদ্ধতিটি খুব কার্যকর।

আমি আগে উদ্ধৃত অন্যান্য সমস্ত বই পছন্দ করি তবে পং চ বইগুলি স্পষ্টভাবে আমার প্রিয়। VHDL সম্পর্কিত ashenden বইগুলি আরও উন্নত, তবে আরটিএল বনাম সিমুলেশনের সীমাটি পং চুর মতো পরিষ্কার নয়।

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.