একটি এফপিজিএ নকশা বেশিরভাগই (বা সম্পূর্ণ) অ্যাসিনক্রোনাস হতে পারে?


39

আমাদের বিশ্ববিদ্যালয়ে একটি খুব সংক্ষিপ্ত এফপিজিএ / ভেরিলগ কোর্স ছিল (5 বছর আগে), এবং আমরা সর্বদা সর্বত্র ঘড়ি ব্যবহার করতাম।

আমি এখন শখ হিসাবে আবার এফপিজিএগুলি দিয়ে শুরু করছি এবং আমি এই ঘড়িগুলি সম্পর্কে অবাক হওয়ার মতো কিছুই করতে পারি না। এগুলি কি একেবারে প্রয়োজনীয়, বা কোনও এফপিজিএ-ভিত্তিক নকশা সম্পূর্ণ অ্যাসিনক্রোনাস হতে পারে? কেউ কি যুক্তিগুলির একটি জটিল গোছা তৈরি করতে পারে এবং যত তাড়াতাড়ি সম্ভব এটির মাধ্যমে স্টিপগুলি ছড়িয়ে দিতে পারে?

আমি বুঝতে পারি যে এটির সাথে পুরোপুরি গোটাচগুলি রয়েছে, যখন জেনেছি কখন সংকেতটি সার্কিটের সমস্ত অংশে প্রচার করেছে এবং আউটপুট স্থিতিশীল হয়েছে। এটা বিন্দু পাশে। এটি এমন নয় যে আমি আসলে এমন একটি নকশা তৈরি করতে চাই যা পুরোপুরি অবিচ্ছিন্ন, তবে কেবল ক্ষমতা সম্পর্কে আমার বোঝার উন্নতি করতে।

আমার শিক্ষানবিস চোখের কাছে, এটি দেখা যাচ্ছে যে কেবলমাত্র একটি ঘড়ির জন্য প্রয়োজনীয় কনস্ট্রাক্ট হ'ল একটি হ'ল regএবং আমার বোধগম্যতা হল যে একটি সাধারণ এফপিজিএ (যেমন, একটি সাইক্লোন II) নির্দিষ্ট ঘড়ির সংকেতগুলিতে প্রাক-ওয়্যার্ডযুক্ত তার ফ্লিপ-ফ্লপ থাকবে। এটা কি সঠিক? এর মতো আর কোনও অন্তর্নিহিত ঘড়ি রয়েছে এবং সেগুলি কি সাধারণত নকশার দ্বারা ম্যানুয়ালি চালিত হতে পারে?


3
আমি জানি কেমব্রিজ বিশ্ববিদ্যালয়ের সাইমন মুর একটি পরীক্ষার চিপ বানোয়াট সহ অ্যাসিক্রোনাস ডিজাইনে অনেক গবেষণা করেছিলেন did এটির জন্য ডিজাইনের সরঞ্জামগুলির সম্পূর্ণ নতুন সেট প্রয়োজন, এবং এর অদ্ভুত পার্শ্ব প্রতিক্রিয়া রয়েছে: উদাহরণস্বরূপ, কার্যকরকরণের গতি বিপরীতভাবে তাপমাত্রার সমানুপাতিক।
pjc50

উত্তর:


27

একটি সংক্ষিপ্ত উত্তর হবে: হ্যাঁ; একটি দীর্ঘ উত্তর হতে হবে: এটি আপনার সময় মূল্য নয়।

কোনও এফপিজিএ নিজেই সম্পূর্ণ অ্যাসিনক্রোনাস ডিজাইন চালাতে পারে কোনও সমস্যা নেই। যে ফলস্বরূপ আপনি পাচ্ছেন তা হ'ল যেহেতু কোনও এফপিজিএ মাধ্যমে সময় নির্ধারণ করা খুব অনুমানযোগ্য নয়। সবচেয়ে বড় সমস্যাটি হ'ল আপনার সময় এবং ফলাফলের নকশা প্রায় স্পষ্টভাবে বিভিন্ন স্থান এবং রুটের সেশনের মধ্যে পরিবর্তিত হয়। আপনি পৃথক অ্যাসিনক্রোনাস পথে খুব বেশি সময় না নেয় তা নিশ্চিত করে বাধা তৈরি করতে পারেন, তবে আপনি যে ন্যূনতম বিলম্ব নির্দিষ্ট করতে পারবেন তা আমি নিশ্চিত নই।

শেষ পর্যন্ত এর অর্থ হল যে আপনার নকশাটি সামান্য নকশা পরিবর্তনের সাথেও অনাকাঙ্ক্ষিত এবং সম্ভাব্যভাবে সম্পূর্ণ পরিবর্তনশীল হবে। আপনি যে কোনও কিছু পরিবর্তন করেছেন কেবলমাত্র এটি এখনও কার্যকর হবে তা নিশ্চিত করার জন্য আপনাকে পুরো সময় প্রতিবেদনটি দেখতে হবে। অন্যদিকে, যদি নকশাটি সিঙ্ক্রোনাস হয় তবে আপনি কেবল স্থান এবং পথের শেষে একটি পাসের জন্য সন্ধান করেন বা ব্যর্থ হন (ধরে নিচ্ছেন যে আপনার সীমাবদ্ধতাগুলি ঠিকঠাকভাবে সেটআপ হয়েছে, যা মোটেই বেশি সময় নেয় না)।

অনুশীলনে লোকেরা সম্পূর্ণ সিঙ্ক্রোনাস ডিজাইনের লক্ষ্য রাখে তবে যদি আপনাকে কেবল একটি সংকেত বাফার বা উল্টে ফেলার দরকার হয় তবে যতক্ষণ আপনি এটিকে সঠিকভাবে সীমাবদ্ধ করেন ততক্ষণ আপনি কোনও ফ্লিপ ফ্লপের মাধ্যমে যাওয়ার প্রয়োজন হবে না।

আশা করি এটি কিছুটা সাফ হয়ে যায়।


3
আমাকে অ্যাসিঙ্ক্রোনাস এফপিজিএ ডিজাইন সহ কিছু ডিভাইস ব্যবহার করতে হয়েছিল। তারা কাজ করতে কঠোর ছিল। দয়া করে কমপক্ষে সময়সীমাবদ্ধতাগুলি ব্যবহার করুন
টিম উইলিসক্রফ্ট

1
যদিও এটি সত্য যে কোনও এফপিজিএ সহ অ্যাসিঙ্ক্রোনাস ডিজাইনগুলি প্রয়োগ করা সম্ভব, বেশিরভাগ এফপিজিএ বিশেষত সিঙ্ক্রোনাস ডিজাইনের সমর্থনে নির্মিত। তাদের প্রচুর সংস্থান রয়েছে (পিএলএল, ঘড়ির বিতরণ সার্কিট এবং বিপুল পরিমাণে ফ্লিপ-ফ্লপ) যা অ্যাসিঙ্ক্রোনাস ডিজাইনে নষ্ট হবে।
দিমিত্রি গ্রিগরিয়েভ

2
এই উত্তরটি বিশেষত ভাল পরামর্শ দেয় না। আপনি একটি ক্লকলেস এফপিজিএ তৈরি করতে পারেন এবং এটি স্থান ও রুটকে সহজতর করে, সময় প্রয়োজনীয়তার সাথে অনেকগুলি সমস্যা সরিয়ে দেয় এবং জরিমানাযুক্ত দানাদার পাইপলাইনের কারণে পরিমাপযোগ্য উচ্চতর আউটপুট থাকতে পারে। আসল সমস্যাটি তখনই আসে যখন আপনি ক্লকড সার্কিটকে ক্লকহীন এফপিজিএতে ম্যাপ করার চেষ্টা করবেন কারণ তাদের সময়সীমার বৈশিষ্ট্যগুলি খুব আলাদা। এটি করা যায়, রূপান্তরটি করতে এটি আরও কিছুটা ফ্রন্ট-এন্ড প্রসেসিং প্রয়োজন requires vlsi.cornell.edu/~rajit/ps/rc_overview.pdf
নেড বিংহাম

20

"কেউ কি যুক্তিযুক্ত একটি জটিল গুচ্ছ তৈরি করতে পারে এবং যত তাড়াতাড়ি পারে তার মাধ্যমে স্টিপগুলি ছড়িয়ে দিতে পারে?" হ্যাঁ. সম্পূর্ণ সিপিইউগুলি তৈরি করা হয়েছে যা সম্পূর্ণ অ্যাসিনক্রোনাস - তাদের মধ্যে অন্তত একটি ছিল বিশ্বের দ্রুততম সিপিইউ। http://en.wikipedia.org/wiki/Asynchronous_circuit#Asynchronous_CPU

এটি আমাকে উদ্বেগ জানায় যে লোকেরা সিঙ্ক্রোনাস ডিজাইনের কৌশলগুলির তুলনায় তাত্ত্বিকভাবে বেশ কয়েকটি সুবিধা পেয়েছে তবুও (এখানকার অন্যরা যেমন বলেছেন) অ্যাসিঙ্ক্রোনাস ডিজাইনগুলি উপলভ্য সরঞ্জামগুলির দ্বারা ততটা সমর্থনযোগ্য নয়।

আমার কাছে, এটি সুপারিশ করার মতো যাবতীয় সেতুগুলি কাঠের তৈরি করা উচিত, কারণ স্টিল-ওয়ার্কিং সরঞ্জামের চেয়ে বেশি লোকের কাঠের সরঞ্জাম রয়েছে।

সৌভাগ্যক্রমে, এখনও একটি বৈশ্বিক অ্যাসিনক্রোনাস স্থানীয় সিঙ্ক্রোনাস (জিএএলএস) নকশা ব্যবহার করে বেশিরভাগ সিঙ্ক্রোনাস ডিজাইনের কৌশল ব্যবহার করার সময় অ্যাসিনক্রোনাস ডিজাইনের কিছু সুবিধা অর্জন করা যেতে পারে ।


বর্গ গ্রিডে পিসিবি রুট করার আধুনিক প্রবণতা সম্পর্কে আমি ঠিক একইভাবে অনুভব করি , যদিও মাইগ্রেশনের সুবিধাগুলি খুব কম তাৎপর্যপূর্ণ।
রোমান স্টারকভ

@ ক্রমিনস - এটি সত্য যে পিসিবি সফটওয়্যার যা নন-রেকটিলাইনার গ্রিড ব্যবহার করে তা লেখা শক্ত
কনার ওল্ফ

1
@ সুপের্যাট: আমার সন্দেহ হয় আপনি ফোর -ফেজ যুক্তিতে ইঙ্গিত দিচ্ছেন । এটি মাল্টি-ফেজ ক্লক সংকেতগুলির মধ্যে একটি যা ভুলে গেছে বলে মনে হয়।
ডেভিড্যাকারি

1
@ ডেভিডকারি: একটি তারের উভয় "পর্যায়" ব্যতীত বাছাই করুন - এক ধাপ ক্রমবর্ধমান প্রান্ত দ্বারা নিয়ন্ত্রিত হচ্ছে এবং অন্যটি ধীরে ধীরে পতিত হবে। মূলত, আমি ল্যাচ ঘড়িগুলি চারটি বিভাগে ভাগ করব: পরিষ্কার উত্থান, পরিষ্কার পতন, দেরী বৃদ্ধি, দেরী পতন falling (এল / সিবি) দ্বারা আটকানো লেচগুলি একটি পরিষ্কার উত্থান বা পতনীয় প্রান্ত যে কোনও উত্থিত বা পতনের প্রান্ত থেকে ডেটা নিতে পারে। এল / সিবি দেরিতে উত্থিত প্রান্তটি এল / সিবি ক্লিন রাইজিং এজ থেকে যে কোনও পড়ন্ত প্রান্ত থেকে ডেটা নিতে পারে। এল / সিবি দেরিতে পড়ন্ত প্রান্তে এল / সিবি ক্লিন ফ্যালিং বা যে কোনও উত্থানের থেকে ডেটা নিতে পারে।
সুপারক্যাট

1
@ ডেভিডকারি: প্রদত্ত যে যে কোনও ল্যাচের দ্রুততম প্রচারের সময়টি সবচেয়ে দীর্ঘ সময় ধরে ছাড়িয়ে যায় এবং প্রদত্ত যে একটি ঘড়ির প্রান্ত থেকে দীর্ঘতম সংকেত পথ, ঘড়ির গেটিং যুক্তি এবং "দেরী" ল্যাচগুলির মাধ্যমে সেই প্রান্তটি দ্বারা ট্রিগার হওয়া কোনও ল্যাচ পর্যন্ত নিম্নলিখিত প্রান্তটি, ঘড়ির প্রান্তগুলির মধ্যে ন্যূনতম সময়ের চেয়ে বেশি নয়, আমি মনে করব যে এই জাতীয় নকশাটি পুরোপুরি নির্ভরযোগ্য এবং প্রচারের বিলম্বের কোনও সংমিশ্রণে অভ্যন্তরীণভাবে উত্পাদিত मेटाস্টাবিলিটি মুক্ত হওয়া উচিত।
সুপারক্যাট

5

একটি ফ্যাক্টর যা এখনও উল্লেখ করা হয়নি তা হ'ল मेटाস্টাবিলিটি। যদি কোনও ল্যাচিং সার্কিট যদি ইনপুট / ট্রানজিশনের ক্রম দিয়ে এমনভাবে আঘাত করা হয় যে ফলস্বরূপ রাষ্ট্রটি প্রসারণ বিলম্ব বা অন্যান্য অবিশ্বাস্য বিষয়গুলির উপর নির্ভর করে তবে ফলাফল প্রাপ্ত রাষ্ট্রটি পরিষ্কার "উচ্চ" বা "নিম্ন" হবে এমন কোনও গ্যারান্টি নেই। উদাহরণস্বরূপ, একটি প্রান্ত-ট্রিগারযুক্ত ফ্লিপ ফ্লপ বিবেচনা করুন যা বর্তমানে একটি "নিম্ন" আউটপুট দিচ্ছে এবং একটি ঘড়ির প্রান্ত আসার সাথে সাথে প্রায় একই সময়ে এর ইনপুটটি নিম্ন থেকে উচ্চে পরিবর্তিত হয়। যদি ইনপুট পরিবর্তনের আগে ঘড়ির প্রান্তটি যথেষ্ট দীর্ঘ হয়ে যায়, পরবর্তী ঘড়ির প্রান্ত পর্যন্ত আউটপুট কেবল কম বসে থাকবে। যদি ইনপুট পরিবর্তনের পরে যদি ঘড়ির প্রান্তটি যথেষ্ট পরিমাণে ঘটে থাকে তবে আউটপুটটি দ্রুত একবার থেকে নীচে চলে যাবে এবং পরবর্তী ঘড়ির প্রান্ত পর্যন্ত সেখানে থাকবে until যদি এই শর্তগুলির কোনওটিই প্রযোজ্য না হয়,। এটি কম থাকতে পারে, বা দ্রুত একবারে স্যুইচ করে উচ্চে থাকতে পারে তবে এটি কিছুক্ষণের জন্য কম থাকতে পারে এবং তারপরে স্যুইচ, বা সুইচ এবং পরে কিছুক্ষণ পরে ফিরে যেতে পারে, বা কয়েকবার পিছনে পিছনে স্যুইচ করা ইত্যাদি etc.

যদি কোনও নকশা পুরোপুরি সিঙ্ক্রোনাস হয় এবং সমস্ত ইনপুটগুলি ডাবল-সিঙ্ক্রোনাইজ হয় তবে এটি খুব সম্ভব না যে কোনও টাইমিং ডালটি একটি সিঙ্ক্রোনাইজারের প্রথম ল্যাচকে এমনভাবে আঘাত করবে যাতে এটি দ্বিতীয় সময়ে বিভ্রান্ত করার জন্য উপযুক্ত সময়ে স্যুইচ করে দেয় cause হুড়কা। সাধারণভাবে, "কেবল ঘটবে না" এই জাতীয় বিষয়গুলি বিবেচনা করা নিরাপদ। অ্যাসিক্রোনাস ডিজাইনে তবে এই জাতীয় বিষয়গুলি নিয়ে প্রায়শই যুক্তিযুক্ত হওয়া অনেক কঠিন। যদি কোনও ল্যাচিং সার্কিটের সময়সীমাবদ্ধতা (কেবল ফ্লিপ ফ্লপ নয়, তবে ল্যাচ হিসাবে কাজ করবে এমন যুক্তির কোনও সংমিশ্রণ) লঙ্ঘন করা হয়, পরের বার কোনও বৈধ ইনপুট শর্ত থাকে যা ল্যাচকে বাধ্য করে তবে আউটপুট কী করবে তা বলার অপেক্ষা রাখে না একটি পরিচিত রাষ্ট্র। এটি সম্পূর্ণরূপে সম্ভব যে বিলম্বিত আউটপুটগুলি ডাউন স্ট্রিম ইনপুটগুলির সময়সীমাবদ্ধতা লঙ্ঘন করে এবং অপ্রত্যাশিত পরিস্থিতিতে ডেকে আনে,

একটি অ্যাসিনক্রোনাস সার্কিটকে মডেল করার সবচেয়ে নিরাপদতম উপায় হ'ল যখনই "0" এবং "1" এর মধ্যে স্যুইচ হয় তখন প্রায় প্রতিটি আউটপুট সার্কিট কিছু সময়ের জন্য একটি "এক্স" আউটপুট তৈরি করে। দুর্ভাগ্যক্রমে, এই পদ্ধতির প্রায়শই প্রায় সমস্ত নোডগুলি "এক্স" দেখায়, এমনকি বাস্তবে বাস্তবে স্থিতিশীল আচরণের ফলস্বরূপ এমন পরিণতি ঘটে থাকে in কোনও ইনপুট পরিবর্তনের পরপরই সমস্ত আউটপুট "এক্স" হয়ে যায় এবং ইনপুট স্থির না হওয়া পর্যন্ত "এক্স" থেকে যায় এমন কোনও সিস্টেম যদি কাজ করতে পারে তবে সার্কিটটি কাজ করবে এটি একটি ভাল লক্ষণ, তবে এই জাতীয় সীমাবদ্ধতার অধীনে কাজ করার জন্য অ্যাসিনক্রোনাস সার্কিট পাওয়া যায় প্রায়শই কঠিন।


4

অবশ্যই যদি আপনার নকশার প্রয়োজনীয়তাগুলি ধীরে ধীরে ধীরে ধীরে ধীরে ধীরে ধীরে ধীরে অভ্যন্তরীণ বিলম্ব হয় তবে আপনার যত্ন নেওয়ার চেয়েও দীর্ঘ মাত্রার অর্ডার হয়, তবে এটি কোনও সমস্যা নয়, এবং আপনি এই বিষয়ে নজর রাখার জন্য সময় প্রতিবেদনে সন্ধান করতে পারেন তবে কোনও অভ্যন্তরীণ রাষ্ট্রের তথ্য দিয়ে আপনি কার্যকরভাবে কী করতে পারেন তার একটি সীমা রয়েছে। আপনি যদি 100 ইনপুট মাল্টিপ্লেক্সারের মতো কিছু করতে চান তবে ঠিক আছে, কেবল মনে রাখবেন যে প্রতিটি ইনপুটটির আলাদা প্রচারে বিলম্ব হবে। প্রকৃতপক্ষে আপনি বিপুল সংখ্যক অপ্রত্যাশিত-বিলম্ব দোলন প্রতিক্রিয়া লুপের সাথে কিছু আকর্ষণীয় এবং বিশৃঙ্খল প্রভাব পেতে পারেন - সম্ভবত পুরোপুরি অ্যাসিঙ্ক এফপিজিএ ভিত্তিক সিনথেসাইজারটি পরবর্তী 'অ্যানালগ' হতে পারে ..


4

হ্যা, তুমি পারো. আপনি ফ্লিপপ্লপগুলি সম্পূর্ণ উপেক্ষা করতে পারেন এবং এগুলি LUT এর বাইরে তৈরি করতে পারেন। এবং / অথবা আপনি বেশিরভাগ সিলিনেক্স এফপিজিএর রাষ্ট্রীয় উপাদানগুলি (প্রান্ত-ট্রিগারযুক্ত) ফ্লিপফ্লপসের পরিবর্তে (স্তরটি ট্রিগারযুক্ত) ল্যাচ হিসাবে ব্যবহার করতে পারেন।


3
এর সাথে একটি বিপদটি হ'ল যদি কেউ যুক্তি সংকলককে সীমাবদ্ধ না করে তবে এটি যুক্তি তৈরি করতে পারে যা কিছু গেটের জন্য নেতিবাচক প্রচারের সময় রাখে। উদাহরণস্বরূপ, যদি কোনও নির্দিষ্ট করে X=(someComplexFormula)এবং Y=X & D, এবং যদি সংকলকটি X এর সূত্রটি প্রতিস্থাপন করে এবং এটির X & Dসমতুল্য নির্ধারণ করে A & D, সংকলকটি X এর শর্তাবলীর পরিবর্তে A এবং D এর পরিপ্রেক্ষিতে গুণমান Y কে প্রতিস্থাপন করতে পারে, এভাবে Y এর গুণনকে অনুমতি দেয় এক্স এর চেয়ে দ্রুত এগিয়ে যেতে এই জাতীয় বিকল্পগুলি সম্মিলিত যুক্তির সাথে বৈধ, তবে অ্যাসিঙ্ক্রোনাস সিক্যুয়ালিটি যুক্তিকে নষ্ট করে দিয়েছে।
সুপারক্যাট

@ সুপের্যাট - আমি জিলিনেক্সের সরঞ্জামগুলির সাথে কখনই কাজ করি নি, তবে আমি যখন আল্টেরা এফপিজিএগুলির সাথে কাজ করেছি, তখন আপনার কাছে আরটিএল না করে সংযুক্ত গেট মডিউল হিসাবে কোনও সমালোচনামূলক পথ নির্দিষ্ট করার বিকল্প ছিল, এই মুহুর্তে এ জাতীয় কোনও অপ্টিমাইজেশন রয়েছে অক্ষম।
জুলে

@ জুলেস: আমার সমস্ত প্রোগ্রামেবল-লজিক ডিজাইনগুলি আবেলকে কিছুটা বোকা ভাষা ব্যবহার করেছে, তবে কিছু সিপিএলডি প্রয়োগ করতে পারে এমন উপায়গুলিকে নির্দিষ্ট করে দেওয়া সম্ভব করে তোলে, তবে এটি কোনও ভিএইচডিএল বা ভারিলোগ সংশ্লেষণের সরঞ্জামের জন্য অসুবিধা সৃষ্টি করতে পারে। উদাহরণস্বরূপ, আমার একটি প্রকল্পে, আমি জিলিনেক্স অংশগুলিতে একটি অ্যাসিঙ্ক-লোডেবল শিফট রেজিস্টার বাস্তবায়ন করতে ঘড়ি, অ্যাসিঙ্ক সেট এবং অ্যাসিঙ্ক রিসেট রয়েছে এই সত্যটি কাজে লাগিয়েছি। যদি কখনও এফপিজিএতে ভেরিলোগ বা ভিএইচডিএল ব্যবহার না করে আমার এই ধরনের কাজ করা দরকার হয় তবে কী করার দরকার আছে তা আমি কীভাবে শিখব? বিটিডাব্লু, যদি স্মৃতিটি পরিবেশন করে, আমি শিফ্টারের জন্য টি ফ্লপ ব্যবহার করেছি এবং ...
সুপারক্যাট

... সময়টি এমন ছিল যে অ্যাসিঙ্ক রাইটিংটি কেবল তখনই ঘটতে পারে যখন টি ইনপুট কম হত, ধরে নেওয়া যে রাইনের পালসের শুরুতে যদি কোনও ন্যাপ-ক্লক ঘটে থাকে তবে অ্যাসিঙ্ক রচনাটি এর বাইরে অনেক বেশি প্রসারিত হবে একটি স্থিতিশীল মান নিশ্চিত করতে, এবং যদি নোপ-ক্লকটি শেষের কাছাকাছি ঘটে থাকে তবে এটি কেবল স্থির-স্থিতিশীল মানটিকে ল্যাচিং করবে। আমি নিশ্চিত না যে কীভাবে কেউ ভিএইচডিএল বা ভেরিলোগে এই জাতীয় কেস দক্ষতার সাথে পরিচালনা করতে পারে।
সুপারক্যাট

@ সুপের্যাট - একটি অনুরূপ সমস্যা গ্রহণ করে, ঘূর্ণিঝড় চতুর্থ ডিভাইস হ্যান্ডবুকটি দেখে আমি দেখতে পাচ্ছি যে একই সমস্যার সর্বোত্তম পন্থাটি হ'ল "ল্যাব-ওয়াইড সিঙ্ক্রোনাস লোড" বিকল্পটি ব্যবহার করা (একটি "ল্যাব" 16 যুক্তিযুক্ত উপাদানগুলির একটি গ্রুপ সুতরাং, যদি এই জাতীয় নিবন্ধকের আকার 16 বিটের একাধিকটি শেষ না করে তবে কিছু বিট নষ্ট হবে, তবে এটি যাইহোক সবচেয়ে কার্যকর বিকল্প বলে মনে হয়)। আমি এখন দুটি বিকল্প আছে: আমি কার্মিক verilog যদি আমি কঠোর সময়জ্ঞান আছে সংশ্লেষণ সরঞ্জামের প্রয়োজন রেজিস্টার প্রয়োজনীয় (যা সাধারণত সবচেয়ে ভাল বিকল্প হবে) বাস্তবায়ন করার একটি উপায় বাছাই হবে, বা, লিখতে পারেন ...
জুলে

3

@ অ্যান্ড্রে যেমন উল্লেখ করেছেন এটি আপনার সময়ের পক্ষে উপযুক্ত নয়। বিশেষত সরঞ্জামগুলি এটি করে না, তাই আপনি নিজেরাই পুরোপুরি থাকবেন। এছাড়াও যেহেতু তাদের অন্তর্নির্মিত নিবন্ধ রয়েছে, আপনি সেগুলি ব্যবহার না করে কোনও কিছুই সংরক্ষণ করতে পারবেন না।


3

সত্যিই তিন ধরণের ডিজাইন রয়েছে।

  1. সংযুক্তিকরণ। এখানে কোনও ঘড়ি নেই এবং কোনও প্রতিক্রিয়ার পাথ নেই এবং সিস্টেমটির কোনও "মেমরি" নেই। যখন এক বা একাধিক ইনপুট পরিবর্তনগুলি তত্ক্ষণাতিতভাবে যুক্ত হয় তবু যুক্ত হয়। কিছু সময়ের পরে আউটপুট একটি নতুন স্থিতিতে পরিণত হয় যেখানে ইনপুটগুলি আবার পরিবর্তন না হওয়া অবধি থাকে remains
  2. সিঙ্ক্রোনাস ক্রমিক। একটি সিস্টেম রেজিস্টার এবং সংযোজক যুক্তির ব্লকগুলির বাইরে তৈরি করা হয়, নিবন্ধগুলি খুব অল্প সংখ্যক (প্রায় 1) ঘড়ি দ্বারা আটকানো থাকে। যদি একাধিক ঘড়ি থাকে তবে এক ঘড়ির ডোমেন থেকে অন্য ঘড়ির কাঁটাগামী সংকেতগুলিতে বিশেষ পূর্বানুমানের প্রয়োজন হতে পারে।
  3. অ্যাসিঙ্ক্রোনাস ক্রমিক। প্রতিক্রিয়া পাথ, ল্যাচগুলি, রেজিস্টারগুলি বা অন্যান্য উপাদান রয়েছে যা অতীতের ঘটনাগুলির নকশার স্মৃতি দেয় এবং এগুলি বিশদ বিশ্লেষণযুক্ত ঘড়ির লাইনে আটকে থাকে না।

সংশ্লেষিত যুক্তিকে সংশ্লেষিত / অনুকূলকরণ করার সময় সাধারনত সরঞ্জামগুলি ধরে নেবে যে সমস্ত বিষয়ই চূড়ান্ত ফলাফল কী এবং সেই ফলাফলটিতে নিষ্পত্তি করার জন্য সর্বোচ্চ সময় প্রয়োজন।

আপনি এমন একটি নকশা তৈরি করতে পারেন যা নিখুঁতভাবে সংমিশ্রণীয় এবং এটি সঠিক ফলাফল পেতে পারে। ফলাফলগুলি কোনও ক্রমে পরিবর্তন হতে পারে এবং চূড়ান্ত মানগুলিতে পৌঁছানোর আগে বেশ কয়েকবার পরিবর্তন হতে পারে। এই জাতীয় নকশাগুলি যুক্তি সংস্থানগুলির খুব অপব্যয়কারী। বেশিরভাগ যুক্তিযুক্ত উপাদানগুলি তাদের বেশিরভাগ সময় অলস অবস্থায় ব্যয় করবে তবে একটি অনুক্রমিক সিস্টেমে আপনি এই উপাদানগুলিকে একাধিক ডেটা আইটেমগুলি প্রক্রিয়া করতে পুনরায় ব্যবহার করতে পারেন।

ক্রমযুক্ত সিঙ্ক্রোনাস সিস্টেমে সমস্ত বিষয়টি হ'ল সংযোজক ব্লকের আউটপুটগুলি যখন তাদের পরবর্তী ফ্লিপ ফ্লপের সাথে যুক্ত হয় তখন তাদের সঠিক অবস্থানে চলে যায়। তারা কোন অর্ডারে পরিবর্তিত হয় বা তারা পথে চটকদার কিনা তা বিবেচ্য নয়। আবার সরঞ্জামগুলি সহজভাবে এটিকে যুক্তিতে পরিণত করতে পারে যে ঘড়ি যথেষ্ট ধীর হয়ে গেলে সঠিক উত্তর দেয় (এবং আপনি আপনাকে যে ঘড়িটি ব্যবহার করতে চান তা যথেষ্ট ধীর গতিতে থাকলে তারা আপনাকে বলতে পারে)।

একটি অ্যাসিনক্রোনাস সিক্যুয়াল সিস্টেমটিতে সেই অনুমানগুলি উইন্ডোটির বাইরে চলে যায়। গ্লিচগুলি বিষয়বস্তু হতে পারে, আউটপুট পরিবর্তনের ক্রমটি গুরুত্বপূর্ণ। সরঞ্জাম এবং এফপিজিএ উভয়ই সিঙ্ক্রোনাস ডিজাইনের জন্য ডিজাইন করা হয়েছিল। স্ট্যান্ডার্ড এফপিজিএ বা নির্দিষ্ট নকশাকৃত নকশাগুলির উপর অ্যাসিনক্রনাস সিস্টেম বাস্তবায়নের সম্ভাবনা সম্পর্কে (গুগল অ্যাসিনক্রাস এফপিজিএ ডিজাইন যদি আপনি আরও জানতে চান) অনেক আলোচনা হয়েছে তবে এটি এখনও মূলধারার স্বীকৃত ডিজাইন অনুশীলনের বাইরে রয়েছে


2

হ্যাঁ. আপনার যদি কোনও প্রসেস টাইপ কনস্ট্রাক্টস না থাকে তবে এটি অনুমানকারী রেজিস্টারগুলির মতো কাজ করা উচিত নয়। অনবোর্ড মেমরির মতো জিনিস থাকবে যাগুলির জন্য ঘড়ি দরকার, যদিও আপনি যদি সত্যিই চান তবে সম্ভবত এই অযৌক্তিকভাবে তৈরি করতে পারতেন।


1
আপনি কি এটিকে একটি একক উত্তর দেওয়ার অর্থ দিয়েছিলেন?
কেভিন ভার্মির 21

1

এফডাব্লুআইডাব্লু আমি ভেবেছিলাম যে বিদ্যুত ব্যবহারের বৈশ্বিক হ্রাস হ'ল অ্যাসিঙ্ক্রোনাস লজিক সমাধানগুলিতে আমার একটি স্পষ্ট লক্ষ্য যুক্ত করা উচিত।

এই গ্লোবাল ক্লক / পিএলএল / বাফারগুলি প্রচুর জোলস পোড়াচ্ছে।

যেহেতু এফপিজিএ সমাধানগুলি ব্যাটারি চালিত আখড়ায় (যেমন, ল্যাটিস আইসস্টিক) প্রবেশ করে তবে এই দিকটি আরও বেশি মনোযোগ অর্জন করবে।


এটি একটি ভাল পয়েন্ট, যদিও এটি বিবেচনা করার মতো যে একটি অনুক্রমিক সার্কিট হিসাবে একই অপারেশন সম্পাদন করা খারাপভাবে নকশা করা কম্বিনেটেরিয়াল সার্কিট কিছু ক্ষেত্রে আংশিক ফলাফল গণনা করার কারণে চূড়ান্ত রূপান্তর করতে পারে এবং চূড়ান্ত আউটপুট তাদের অ্যাকাউন্টে আপডেট করা হয়, এবং সিএমওএস সার্কিটগুলিতে (বেশিরভাগ এফপিজিএগুলি হ'ল) ​​বিদ্যুৎ খরচ সংক্রমণের সংখ্যার সাথে আনুপাতিক। ঘড়িগুলি অপ্রয়োজনীয় স্থানান্তর করতে পারে, তবে আপনি এই মুহুর্তের প্রয়োজন নেই এমন সার্কিটের অংশগুলিতে ক্লকগুলি অক্ষম করে প্রচুর শক্তি হ্রাস করতে পারেন।
জুলে
আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.