প্রশ্ন ট্যাগ «verilog»

ভেরিলোগ একটি হার্ডওয়্যার বর্ণনার ভাষা (এইচডিএল) যা বৈদ্যুতিন সিস্টেমের মডেল হিসাবে ব্যবহৃত হয়। এটি ডিজিটাল লজিক চিপগুলির নকশা, যাচাইকরণ এবং বাস্তবায়নে সবচেয়ে বেশি ব্যবহৃত হয়। প্রযোজ্য হিসাবে [fpga], [asic] বা [যাচাইকরণ] এর সাথেও ট্যাগ করুন। অনেক ভেরিলোগ প্রশ্নের উত্তর লক্ষ্য নির্দিষ্ট।


2
এএসআইসি ডিজাইন কীভাবে এফপিজিএ এইচডিএল সংশ্লেষণের থেকে আলাদা?
জিলিনেক্স আইএসই, ল্যাটিক্স ডায়মন্ড ইত্যাদি এফপিজিএ / এইচডিএল সরঞ্জাম স্যুটগুলির সাথে আমার কিছু অভিজ্ঞতা ছিল The আমি কয়েকজনকে শুনেছি ASIC নকশাটি খুব আলাদা। দুটি বড় ধরণের এএসআইসি, গেট লেভেল এএসআইসি এবং ট্রানজিস্টর স্তরের এএসআইসির জন্য ব্যবহৃত টুলসেটগুলি কী কী? আমি উচ্চ স্তরের সংশ্লেষের সরঞ্জামগুলি যেমন ক্যাটপল্ট সি এবং ক্যাডেন্স সি …
42 fpga  vhdl  verilog  software  asic 

9
একটি এফপিজিএ নকশা বেশিরভাগই (বা সম্পূর্ণ) অ্যাসিনক্রোনাস হতে পারে?
আমাদের বিশ্ববিদ্যালয়ে একটি খুব সংক্ষিপ্ত এফপিজিএ / ভেরিলগ কোর্স ছিল (5 বছর আগে), এবং আমরা সর্বদা সর্বত্র ঘড়ি ব্যবহার করতাম। আমি এখন শখ হিসাবে আবার এফপিজিএগুলি দিয়ে শুরু করছি এবং আমি এই ঘড়িগুলি সম্পর্কে অবাক হওয়ার মতো কিছুই করতে পারি না। এগুলি কি একেবারে প্রয়োজনীয়, বা কোনও এফপিজিএ-ভিত্তিক নকশা সম্পূর্ণ …
39 fpga  verilog 

7
একটি এইচডিএলে একটি সিপিইউর পাঠযোগ্য এবং শিক্ষামূলক বাস্তবায়ন
আপনি কি ভিএইচডিএল বা ভেরিলগের সিপিইউতে একটি পাঠযোগ্য এবং শিক্ষাগত প্রয়োগের প্রস্তাব দিতে পারেন? সাধারণত কিছু ভাল ডকুমেন্টেড। PS আমি জানি আমি দেখতে পারি opencoresতবে আমি বিশেষভাবে স্টাফগুলিতে আগ্রহী লোকেরা আসলে দেখেছিল এবং আকর্ষণীয় বলে মনে হয়েছে। PS2। স্তন্যপায়ী ট্যাগ সম্পর্কে দুঃখিত, তবে নতুন ব্যবহারকারী হিসাবে আমি নতুন কোনও তৈরি …


7
আমি কীভাবে এইচডিএল শিখব
আমি এই সেমিস্টারে ডিজিটাল ডিজাইনের একটি কোর্স পেয়েছি এবং কেবল এটি পছন্দ করি। এখন আমি জানি যে এমবেডেড সিস্টেম এবং ডিজিটাল ডিজাইনের বেশিরভাগ কাজ প্রথমে কম্পিউটার সিমুলেটরগুলিতে করা হয় এবং তারপরে হার্ডওয়্যার ব্যবহার করে প্রয়োগ করা হয়। সুতরাং আমি ভাবছিলাম যে কীভাবে আমার এইচডিএল শিখতে হবে? আমার কয়েকটি প্রশ্ন আছে …
24 simulation  vhdl  verilog  hdl 

6
সিরিয়াল প্রোটোকল সীমানা / সিঙ্ক্রোনাইজেশন কৌশল
যেহেতু আজকাল অ্যাসিঙ্ক্রোনাস সিরিয়াল যোগাযোগ ব্যাপকভাবে বৈদ্যুতিন ডিভাইসের মধ্যে ছড়িয়ে পড়েছে, আমি বিশ্বাস করি আমাদের মধ্যে অনেকে সময়ে সময়ে এই জাতীয় প্রশ্নের মুখোমুখি হয়েছিল। একটি ইলেকট্রনিক ডিভাইস Dএবং PCসিরিয়াল লাইনের সাথে সংযুক্ত কম্পিউটার (আরএস -232 বা অনুরূপ) বিবেচনা করুন এবং অবিচ্ছিন্নভাবে তথ্য আদান প্রদানের প্রয়োজন । অর্থাৎ PCপ্রত্যেকটি কমান্ড ফ্রেম …
24 serial  communication  protocol  brushless-dc-motor  hall-effect  hdd  scr  flipflop  state-machines  pic  c  uart  gps  arduino  gsm  microcontroller  can  resonance  memory  microprocessor  verilog  modelsim  transistors  relay  voltage-regulator  switch-mode-power-supply  resistance  bluetooth  emc  fcc  microcontroller  atmel  flash  microcontroller  pic  c  stm32  interrupts  freertos  oscilloscope  arduino  esp8266  pcb-assembly  microcontroller  uart  level  arduino  transistors  amplifier  audio  transistors  diodes  spice  ltspice  schmitt-trigger  voltage  digital-logic  microprocessor  clock-speed  overclocking  filter  passive-networks  arduino  mosfet  control  12v  switching  temperature  light  luminous-flux  photometry  circuit-analysis  integrated-circuit  memory  pwm  simulation  behavioral-source  usb  serial  rs232  converter  diy  energia  diodes  7segmentdisplay  keypad  pcb-design  schematics  fuses  fuse-holders  radio  transmitter  power-supply  voltage  multimeter  tools  control  servo  avr  adc  uc3  identification  wire  port  not-gate  dc-motor  microcontroller  c  spi  voltage-regulator  microcontroller  sensor  c  i2c  conversion  microcontroller  low-battery  arduino  resistors  voltage-divider  lipo  pic  microchip  gpio  remappable-pins  peripheral-pin-select  soldering  flux  cleaning  sampling  filter  noise  computers  interference  power-supply  switch-mode-power-supply  efficiency  lm78xx 

5
অনুমিত ল্যাচগুলি খারাপ কেন?
আমার সংকলক আমার সংযোজক লুপগুলিতে ( always @(*), ভেরিলোগে) ইনফেরার্ড ল্যাচগুলির বিষয়ে অভিযোগ করে । আমাকে আরও বলা হয়েছিল যে অনুমিত ল্যাচগুলি পছন্দ করা উচিত। অনুমিত ল্যাচগুলির সাথে ঠিক কী ভুল? তারা অবশ্যই কম্বিনেটর লুপগুলি সহজভাবে লেখার পক্ষে তৈরি করে।
22 verilog  hdl  latch 

7
পরীক্ষা এবং যাচাইয়ের মধ্যে পার্থক্য কী?
প্রত্যেকটি পাঠ্যপুস্তক আমি দেখেছি যে পরীক্ষার এবং যাচাইকরণ দুটি ভিন্ন ধারণা ts তবুও তাদের কেউই স্পষ্ট (বা আমার কাছে যথেষ্ট পরিস্কার, শেষ অবধি) পার্থক্য সরবরাহ করে না। কিছু প্রসঙ্গ সরবরাহ করতে, আমি হার্ডওয়্যার ডিজাইন ভাষা (এইচডিএল) ব্যবহার করে ডিজিটাল হার্ডওয়্যার ডিজাইনের যাচাইকরণে আগ্রহী। আমি এমন কিছু ব্যাখ্যা দেখেছি যা "শারীরিক" …

3
ব্লকিং এবং ননব্লকিং অ্যাসাইনমেন্ট ভেরিলোগের মধ্যে পার্থক্য
আমি এই পৃষ্ঠাটি পড়ছিলাম http://www.asic-world.com/verilog/verilog_one_day3.html যখন আমি নিম্নলিখিতটি পেলাম: আমাদের সাধারণত ফ্লিপ-ফ্লপগুলি পুনরায় সেট করতে হয়, এভাবে প্রতিবার ঘড়িটি 0 থেকে 1 (উত্থাপন) থেকে রূপান্তর করে, আমরা পুনরায় সেট করা (সিঙ্ক্রোনাস রিসেট) চাপানো আছে কিনা তা পরীক্ষা করে দেখি, তারপরে আমরা সাধারণ যুক্তি দিয়ে চলেছি। আমরা যদি নিবিড়ভাবে লক্ষ্য করি …
15 verilog 

4
বিজেটি ট্রানজিস্টররা একটি স্যাচুরেটেড অবস্থায় কীভাবে কাজ করবেন?
এনপিএন বিজেটি (বাইপোলার জংশন ট্রানজিস্টর) সম্পর্কে এটি আমি জানি: বেস-ইমিটার প্রবাহটি কালেক্টর-ইমিটারে এইচএফই বার প্রসারিত হয়, যাতে Ice = Ibe * HFE Vbeবেস-ইমিটারের মধ্যে ভোল্টেজ এবং কোনও ডায়োডের মতোই সাধারণত 0,65V এর কাছাকাছি হয়। Vecযদিও আমি মনে করি না । যদি Vbeসর্বনিম্ন প্রান্তিকের চেয়ে কম হয় তবে ট্রানজিস্টরটি খোলা থাকে …

2
এই অপারেটরটিকে ভারিওলেজে "+:" হিসাবে ডাকা হয়
আমি ভেরিলোগ পরীক্ষা মামলার মধ্য দিয়ে যাচ্ছি এবং একটি বিবৃতি পেয়েছি assign XYZ = PQR_AR[44*8 +: 64]; "+:" অপারেটর কী হিসাবে পরিচিত। আমি গুগলে এটি সন্ধান করার চেষ্টা করেছি কিন্তু কোনও প্রাসঙ্গিক উত্তর পাইনি।
14 verilog 

5
সিপিএলডি বা এফপিজিএগুলিতে স্কিম্যাটিক ডিজাইনের চেয়ে ভেরিলগ বা ভিএইচডিএলকে কী চয়ন করতে আমাকে সাহায্য করবে?
প্রোগ্রামেবল লজিকের আমার একেবারেই পটভূমি নেই, আমি আমার প্রকল্পগুলিতে বেশিরভাগ মাইক্রোকন্ট্রোলার ব্যবহার করি তবে সম্প্রতি আমার ভিডিওর সাথে কাজ করা দরকার ছিল এবং মাইক্রোকন্ট্রোলার আমার যা প্রয়োজন তার জন্য খুব ধীর হয়ে গেছে তাই আমি সিপিএলডি নিয়ে খেলতে শুরু করি। আমি কেবল স্কিম্যাটিক ডিজাইন ব্যবহার করে সিপিএলডির সাথে ভাল ফলাফল …

1
ভেরিলোগ: ভেক্টরের সমস্ত সংকেত একসাথে এক্সওআর করুন
বলুন আমাকে wire large_bus[63:0]width৪ প্রস্থের একটি ভেক্টর দেওয়া হয়েছে all আমি কীভাবে পৃথক সংকেতগুলি সমস্ত না লিখে একসাথে করতে পারি: assign XOR_value = large_bus[0] ^ large_bus[1] ^ ... ^ large_bus[63] ? আমি বিশেষত ভেক্টরদের জন্য এটি করতে আগ্রহী যেখানে প্রস্থটি দ্বারা নির্দিষ্ট করা হয়েছে localparam।
13 verilog 

6
সি এর মাধ্যমে ভেরিলগ বা ভিএইচডিএল ব্যবহারের অনুপ্রেরণা কী?
আমি একটি প্রোগ্রামিং ব্যাকগ্রাউন্ড থেকে এসেছি এবং হার্ডওয়ার বা ফার্মওয়্যার (প্রায়শই ইলেকট্রনিক্স এবং আরডুইনো) এর সাথে খুব বেশি গণ্ডগোল করি না। সি বা কিছু অ্যাসেমব্লির মতো প্রোগ্রামিং ভাষার উপর যেমন ভেরিলোগ এবং ভিএইচডিএল হিসাবে হার্ডওয়্যার বর্ণন ভাষা (এইচডিএল) ব্যবহার করার অনুপ্রেরণা কী? এই বিষয়টি কি আদৌ পছন্দের বিষয়? আমি পড়লাম …

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.