সিপিএলডি বা এফপিজিএগুলিতে স্কিম্যাটিক ডিজাইনের চেয়ে ভেরিলগ বা ভিএইচডিএলকে কী চয়ন করতে আমাকে সাহায্য করবে?


13

প্রোগ্রামেবল লজিকের আমার একেবারেই পটভূমি নেই, আমি আমার প্রকল্পগুলিতে বেশিরভাগ মাইক্রোকন্ট্রোলার ব্যবহার করি তবে সম্প্রতি আমার ভিডিওর সাথে কাজ করা দরকার ছিল এবং মাইক্রোকন্ট্রোলার আমার যা প্রয়োজন তার জন্য খুব ধীর হয়ে গেছে তাই আমি সিপিএলডি নিয়ে খেলতে শুরু করি।

আমি কেবল স্কিম্যাটিক ডিজাইন ব্যবহার করে সিপিএলডির সাথে ভাল ফলাফল পেতে সক্ষম হয়েছি কিন্তু সিপিএলডিগুলিতে তথ্য অনুসন্ধান করার সময় আমি ভিএইচডিএল এবং ভেরিলোগ ব্যবহার করে অনেকগুলি উদাহরণ পেয়েছি। এই বিষয়গুলির একটির মধ্যে আমার ডিভাইসটি কীভাবে সংজ্ঞায়িত করতে চাইবে তা সম্পর্কে আমি আগ্রহী। স্কিম্যাটিক ডিজাইন তারা কী করতে পারে? এগুলি কি বেশিরভাগ কাজের জন্য ব্যবহৃত হয়?

এখন অবধি আমি কেবল সিপিএলডি ব্যবহার করেছি, এফপিজিএ ডিজাইনগুলি কি এই ভাষাগুলি ব্যবহার করে সিপিএলডিগুলির চেয়ে বেশি উপকৃত হয়?


1
আপনি সম্ভবত মূলধারার অংশগুলির জন্য ভেরিলোগ এবং ভিএইচডিএল উভয়ের জন্য সরবরাহকারী সরবরাহিত সংশ্লেষণ সরঞ্জামগুলি খুঁজে পাবেন। সুতরাং পছন্দটি সম্পূর্ণরূপে মতামত। আমি আপনাকে উভয় তাকান এবং আপনি কোনটি পছন্দ করতে সিদ্ধান্ত নিতে উত্সাহিত করব। জিলিনেক্স উভয় ভাষায় ভাল উদাহরণ সরবরাহ করে, আমি সন্দেহ করি যে অন্যান্য বিক্রেতারাও এটি করেন।
ডেভিড

3
যুক্তি নকশার জন্য আপনার অবশ্যই স্কিম্যাটিক ক্যাপচার থেকে দূরে সরে যাওয়া উচিত। এটি শুরুতে সহজ বোধ করে তবে এটি মায়াময়। ভাষাগুলিতে আমার $ 0.02: ভিএইচডিএল একটি ঘৃণা, ভেরিলোগ ব্যবহার করুন।
Markt

@মার্ট, আপনি কেন ভিএইচডিএলকে খারাপ বলে মনে করেন?
stanri

2
কেউ সমানভাবে বলতে পারে ভেরিলোগ একটি ঘৃণা, ভিএইচডিএল ব্যবহার করুন। ভিএইচডিএল আশা করে যে আপনি যা চান ঠিক তা বলবেন, যখন ভেরিলগ ধরণের অনুমান। তবে এটি আমার 0.02 ডলার মাত্র।
ব্রায়ান ড্রামন্ড

1
@ মার্কেট, আমি ভিএইচডিএল দিয়ে শুরু করেছি তাই এক পর্যায়ে আমি এর দৃ the়-টাইপিত নেসের প্রশংসা করেছি। আমি কী শুরু করতে চাইছিলাম তা সম্পর্কে ভাবতে এবং আমাকে শুরু করার সময় ভাল অভ্যাস তৈরি করতে বাধ্য করে। এখন আমি খুব দূর থেকে সিস্টেমভেরিলগ শিবিরে প্রবেশ করছি খুব বেশি যত্ন নেওয়ার জন্য, সত্যিই।
stanri

উত্তর:


14

স্কিম্যাটিক ডিজাইন কেবল তখনই কার্যকর যখন আপনি কয়েকটি অফ-শেল্ফ মডিউল (কাউন্টার, অ্যাডার্স, মেমরি ইত্যাদি) কেবল এক সাথে বেঁধে রাখবেন। তবে সত্যিকারের অ্যালগরিদম (যেমন, একটি ক্রিপ্টোগ্রাফি হ্যাশিং অ্যালগরিদম) বাস্তবায়ন করা এইচডিএল (ভিএইচডিএল বা ভেরিলোগের মতো) ব্যতীত প্রায় অসম্ভব, যেহেতু পরিকল্পনামূলক চিহ্নগুলির সাথে আচরণগত স্তরে কোনও সিস্টেম বর্ণনা করার উপায় নেই।

বেশিরভাগ প্রকল্পগুলি আচরণগত-স্টাইলের এইচডিএলতে করা হয় কারণ তারা হাত দ্বারা সংশ্লেষিত করা খুব জটিল এবং স্ক্রমেটিকভাবে লজিক আদিমগুলি ব্যবহার করে আঁকা।

সিপিএলডিগুলি সাধারণত আঠার যুক্তির জন্য এবং প্রসেসিংয়ের জন্য কম ব্যবহৃত হয় এবং সাধারণত যুক্তিটি স্কিম্যাটিক্যালি প্রয়োগ করা সহজ, তাই আমি মনে করি আপনি ঠিক বলেছেন যখন আপনি প্রস্তাব করেন যে এইচপিএলএ ভিত্তিক ডিজাইনগুলি এইচডিএল ব্যবহার করে আরও বেশি উপকৃত হয়।


প্রতীকী স্কিম্যাটিক সিস্টেমে আপনি খুব জটিল জিনিসগুলি করতে পারেন । আমি এতদূর বলতে পারি যে এইচডিএল-এ যা কিছু করা যায় তা রক্ষণাবেক্ষণের ব্যয় ব্যতীত, একটি স্কিম্যাটিক ভিত্তিক সিস্টেমে প্রয়োগ করা যেতে পারে। যতক্ষণ পর্যন্ত টুলসেট একক চিহ্ন হিসাবে কাজ করে এমন চিহ্নগুলির ব্লক সংজ্ঞায়িত করতে পারে ততক্ষণ আপনি বেশ কিছু করতে পারেন।
কনার ওল্ফ

1
এখানে একটি ভাল উদাহরণ ল্যাবভিউ। এটি একটি প্রতীকী প্রোগ্রামিং ইন্টারফেস যেখানে লোকেরা ব্যাপক জটিল সিস্টেমগুলি তৈরি করেছে যা পুরো কারখানাগুলিকে স্বয়ংক্রিয় করে তোলে। শেষ ফলাফলটি নিকট-অচিন্তনীয়, তবে এটি সম্ভব (দ্রষ্টব্য: আমি এটি ভাল ধারণা, কেবলমাত্র মৌলিক বাস্তবতা কিনা তা নিয়ে কথা বলছি না )।
কনার ওল্ফ

"বেশিরভাগ প্রকল্পগুলি আচরণগত-স্টাইলে এইচডিএলতে করা হয় ..." অসমর্থনীয় এবং বাস্তবে ডিজাইন কীভাবে কাজ করে তা নয়।
স্থানধারক

@ কননরওল্ফের ল্যাবভিউ কোডটি অবিস্মরণীয় হওয়ার বিষয়ে দ্বিমত পোষণ করতে হবে - এটি নয় যে আমি একটি বিশাল অনুরাগী। কিছু সেরা অনুশীলন রয়েছে যা সত্যই সহায়তা করে। আমার জন্য, সাধারণত জি-ভাষার অন্তর্নিহিত যে কোনও কিছুর বিপরীতে রক্ষণাবেক্ষণের সমস্যার কারণ হিসাবে আগত বা পশ্চাদগম সামঞ্জস্যের কোনও আশায় এটি পরিত্যাগ;)
স্কট সিডম্যান

4

জয়ের দুর্দান্ত উত্তরের পাশাপাশি কয়েকটি ব্যবহারিক দিক:

  • বাগ। স্কিমেটিক সরঞ্জামগুলি বাকী টুলসেটের তুলনায় বাগিগায়ার * হতে থাকে। এটি সম্ভবত শিল্পে স্কিলমেটিকের চেয়ে ভেরিলোগ / ভিএইচডিএলকে পছন্দ করার কারণে এবং সুতরাং সফ্টওয়্যার বিকাশকারীদের দ্বারা স্কিম্যাটিক এন্ট্রি কম মনোযোগ দেওয়া হয়।
  • গতি. স্কিম্যাটিকটিকে সিন্থেসিস সরঞ্জামে পাস করার আগে প্রথমে একটি এইচডিএল রূপান্তর করা দরকার। এটি বিল্ড টাইমগুলিতে নেতিবাচক প্রভাব ফেলতে পারে। উত্সর্গীকৃত এইচডিএল খুব বেশি পঠনযোগ্য নাও হতে পারে যদি আপনার কোনও কারণে এটির পরীক্ষা করার প্রয়োজন হয়।
  • পোর্টেবিলিটি। ভেরিলোগ এবং ভিএইচডিএল ব্যবহৃত বিক্রেতার নির্দিষ্ট আদিম পরিমাণের উপর নির্ভর করে ডিভাইসগুলির মধ্যে কমবেশি বহনযোগ্য। পোর্টিং স্কিমেটিকস আপনাকে হয় সবকিছু পুনর্নির্মাণ করতে হবে বা সরবরাহিত আমদানি / রফতানি সক্ষমতার উপর নির্ভর করতে হবে (যদি থাকে)।

* জিলিনক্স আইএসইতে আমার প্রিয় বাগটি উল্লম্ব তারগুলি নির্বাচন করতে অক্ষম ছিল


2

A ডিজাইন এন্ট্রি স্ট্যান্ডার্ড হিসাবে এইচডিএল (হার্ডওয়্যার ডেসক্রিপশন ল্যাঙ্গুয়েজস) এর অনেক সুবিধা রয়েছে।

কার্যকারিতার বর্ণনা উচ্চ স্তরে হতে পারে, এইচডিএল ভিত্তিক ডিজাইনগুলি একটি নির্বাচিত প্রযুক্তির গেট-লেভেলের বর্ণনায় সংশ্লেষিত করা যায়, একটি এইচডিএল ডিজাইন গেট-লেভেল নেট-তালিকা বা স্কিম্যাটিক বিবরণ এবং এইচডিএলগুলির চেয়ে আরও সহজে বোঝা যায় শক্তিশালী ধরণের চেকিংয়ের কারণে ত্রুটিগুলি হ্রাস করুন।

হার্ডওয়্যার বর্ণনার ভাষাগুলি ভিএইচডিএল এবং ভেরিলোগ একটি উচ্চ বিমূর্ত স্তরে মডেলিংয়ের অভিপ্রায় সহ মডেলিংয়ের জন্য ডিজাইন করা হয়েছিল যার মধ্যে বৈশিষ্ট্যগুলি, সম্মতি, সময়সীমা, স্তরক্রম, উপাদানগুলির পুনরায় ব্যবহার, রাষ্ট্রীয় আচরণ, সিঙ্ক্রোনাস আচরণ, সংশ্লেষীয় আচরণ, সিঙ্ক্রোনাইজেশন এবং সহজাত সমান্তরালতা অন্তর্ভুক্ত রয়েছে ।

সংশ্লেষণের সময় সমস্যাগুলি দেখা দেয়, একটি নির্দিষ্ট প্রক্রিয়া এবং গেট বাস্তবায়নের জন্য নকশার বিবরণটি ম্যাপিং। এটির প্রয়োজন যে আপনি এইচডিএল এর উচ্চ-স্তরের বৈশিষ্ট্যগুলি ব্যবহার করতে পারবেন না - আপনাকে অবশ্যই "সংশ্লেষযোগ্য ভারিলোগ / ভিএইচডিএল" উত্পাদন করতে হবে

সুতরাং আপনার সংশ্লেষণের জন্য এইচডিএল এবং সিমুলেশনের জন্য এইচডিএল এবং সংশ্লেষযোগ্য উপসেটটি সরঞ্জাম নির্দিষ্ট।

আপনি কোনও আচরণ-নকশার বিবরণ থেকে নেট-তালিকা / বিন্যাসে যেতে পারবেন না। তবে আপনি আচরণের উপাদানগুলির জন্য আপনার নকশাকে কাঠামোগত করতে পারেন যা একটি সংশ্লেষযোগ্য দিকও রয়েছে যা একে অপরের সাথে তুলনা করা যায়। আপনি আচরণটি দিয়ে শুরু করুন এবং তারপরে এটি একবার কাজ করার পরে আপনি সংশ্লেষণের জন্য পুনর্লিখন করেন (যা একটি উপসেট)। আপনি সাধারণ থেকে নির্দিষ্ট যান এবং পথে টেস্ট-বেঞ্চগুলি তৈরি করুন।


1

আরও একটি সুবিধা হ'ল এইচডিএলস নিয়মিত প্রোগ্রামিং ভাষার মতো সমস্ত সুবিধা পান যেগুলি স্ট্যান্ডার্ড সংস্করণ নিয়ন্ত্রণ ব্যবস্থায় ব্যবহার করা যেতে পারে, পরিবর্তনগুলি পরীক্ষা করার জন্য ডিফ-এড ইত্যাদি


0

ইতিমধ্যে যা বলা হয়েছে তা ছাড়াও: বিশেষত বড় প্রকল্পগুলিতে পাঠ্য উপস্থাপনাটি আরও অনেক বেশি পরিচালনাযোগ্য। আপনি (বিশাল অসুবিধা থাকা সত্ত্বেও) যে কোনও সংশ্লেষযোগ্য এইচডিএলকে কৌশলে রূপান্তর করতে পারেন, তবে শত শত স্কিম্যাটিক উপাদানগুলির সাথে শত শত রেখার প্লেইন পাঠ্য কাজ করা সহজ।

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.