ভিএইচডিএল নাকি ভেরিলোগ? [বন্ধ]


93

ভিএইচডিএল এবং ভেরিলোগ হ'ল এইচডিএল। যার এইচডিএল নিয়ে মোটেই অভিজ্ঞতা নেই তার পক্ষে কী কী সুবিধা রয়েছে?


2
তারা সমান দক্ষ বলে মনে হচ্ছে, আপনার পছন্দের যেকোন একটি চয়ন করুন। আমি দেখতে পেয়েছি যে ভিএইচডিএল আমাকে সবচেয়ে ভাল মানায়।
লিওন হেলার

1
আমি কীভাবে এই মডারেটরগুলিকে "ভোট ডাউন" দিতে পারি? বইগুলির মধ্যে থাকা তথ্যের চেয়ে পূর্বের অভিজ্ঞতা সম্পন্ন লোকদের বক্তৃতা বেশি মূল্যবান। বই সময়ের ধারণা বহন করে না।
dsgdfg

উত্তর:


70

কোনটি শিখতে হবে তা আমি আপনাকে বলতে পারি না, তবে এখানে কয়েকটি বিপরীত পয়েন্ট রয়েছে (খুব ভিএইচডিএল কেন্দ্রিক ব্যবহারকারীর কাছ থেকে, তবে আমি যথাসম্ভব সুষ্ঠু হওয়ার চেষ্টা করেছি!), যা আপনাকে নিজের পছন্দগুলির উপর ভিত্তি করে একটি পছন্দ করতে সহায়তা করতে পারে বিকাশের রীতিতে:

এবং সেই বিখ্যাত উক্তিটি মনে রাখবেন যা "বর্তমানে আমি যে দুটি ব্যবহার করছি না তার দুটি পছন্দ করি" (দুঃখিত, আমি আসলে এটি কে লিখেছেন তা মনে করতে পারি না - সম্ভবত জ্যানিক বার্গারন?)

VHDL

  • দৃঢ়ভাবে টাইপ
  • আরও ভার্বোজ
  • খুব নির্দোষ
  • নন-সি-এর মতো সিনট্যাক্স (এবং মানসিকতা)

শুরু করার জন্য প্রচুর সংকলন ত্রুটি, তবে তারপরে বেশিরভাগই আপনার প্রত্যাশা অনুযায়ী কাজ করে। এটি একটি খুব খাড়া অনুভূতি শেখার বক্ররেখার দিকে নিয়ে যেতে পারে (অপরিচিত সিনট্যাক্স সহ)

Verilog

  • স্বাস্থ্যহীন টাইপ
  • আরও সংক্ষিপ্ত
  • আপনি যদি কিছু নিয়ম সাবধানতার সাথে অনুসরণ করেন তবে কেবল নির্বিচারবাদী
  • আরও সি-এর মতো সিনট্যাক্স (এবং মানসিকতা)

ত্রুটিগুলি পরে সিমুলেশনে পাওয়া যায় - "কিছু করার মতো অনুভূতি" শেখার বক্ররেখা অগভীর, তবে দীর্ঘতর হয় (যদি এটি সঠিক রূপক?)

ভেরিলোগের পক্ষেও রয়েছে যে উচ্চ-সমাপ্তি যাচাইকরণটি সিস্টেমভাইরোগের প্রতি আরও বেশি ঝুঁকছে যা ভেরিলোগের এক বিশাল প্রসার। তবে হাই-এন্ড সরঞ্জামগুলি ভিএইচডিএল সংশ্লেষ কোডটি সিস্টেমভাইলোগ যাচাইকরণ কোডের সাথে একত্রিত করতে পারে।


সম্পূর্ণরূপে অন্য পদ্ধতির জন্য: মাইএইচডিএল - আপনি পাইথনের সমস্ত শক্তি সংশ্লেষণের এক্সটেনশনের সেট দিয়ে যাচাইকরণের ভাষা হিসাবে পান যা থেকে আপনি ভিএইচডিএল বা ভেরিলোগ উভয়ই উত্পন্ন করতে পারেন।

বা কোকটব - পাইথনের সমস্ত শক্তি যাচাইকরণের ভাষা হিসাবে, আপনার সংশ্লেষযোগ্য কোডটি এখনও যে কোনও এইচডিএলে আপনি শিখার সিদ্ধান্ত নিয়েছেন (যেমন ভিএইচডিএল বা ভেরিলোগ)।


2
আমি ভেরিলোগকে জানি তবে আমি ভিএইচডিএল এর সাথে পরিচিত নই: ভিএইচডিএল ভেরিলোগের চেয়ে বেশি নির্বিচারক হওয়ার অর্থ কী?
সিক 7:30

44

দু'জনেই একই সপ্তাহে শিখেছি। ভিএইচডিএল এডিএ / পাসকালের মতো এবং ভেরিলোগ সি'র মতো। ভিএইচডিএল একটি সংকলন পেতে আরও ভার্বোস এবং আরও বেদনাদায়ক তবে একবার আপনি একটি সংকলন পাওয়ার পরে সাফল্যে আপনার সম্ভাবনা আরও ভাল। কমপক্ষে আমি এটি খুঁজে পেয়েছি। সি এর মতো ভেরিলোগ আপনাকে নিজের পায়ে গুলি করতে দিতে বেশ সন্তুষ্ট। কিছু শিল্প যেমন মহাকাশ / সরকার ভিএইচডিএলের দিকে ঝুঁকে থাকে, অন্যরা ভেরিলোগের দিকে ঝুঁকে থাকে। আমি উভয়ের সাথেই যে সমস্যাটি খুঁজে পাই তা হ'ল টেস্ট বেঞ্চের ক্ষমতা এবং যখন সিমিকন থেকে সিলিকন / এফপিজিএ রচনার জন্য সংশ্লেষযোগ্য একমাত্র কোডটি শেখার চেষ্টা করা হয় এবং লেখার আরও একটি স্তর।

এমন একটি ভাষা আছে যা আমি সত্যিই সিডিএল পছন্দ করি । এটি কঠোরভাবে সংশ্লেষযোগ্য, আপনি অন্যভাবে আপনার পরীক্ষার বেঞ্চগুলি লেখেন, এটি সংশ্লেষযোগ্য ভেরিলোগ তৈরি করে যা আপনি অন্যান্য সরঞ্জামগুলিতে নিতে পারেন। দুর্ভাগ্যক্রমে সিডিএল ডক্সের অভাব রয়েছে, আমি আশা করি যে ভার্িলোগ বা ভিএইচডিএল এর চেয়ে কতটা সহজ ব্যবহার করা সহজ তা দেখানোর জন্য বিশ্বের কিছু নমুনা বের হয়ে আসবে, তবে কেবল এটি সম্পন্ন হয়নি। এটি নিজস্ব সিম / পরীক্ষার পরিবেশ সহ আসে। সঙ্গে CDL এবং GTKWave আপনি কাজ একটি যথেষ্ট পরিমাণ কাজ করতে পারেন।

ভেরিলাটর নামে আর একটি সরঞ্জাম আপনার ভেরিলোগ পরিষ্কার রাখার ক্ষেত্রে মোটামুটি অনড়। এটি সিমের সাথে সংযুক্ত করার বা সি / সি ++ তে টেস্ট বেঞ্চগুলি তৈরি করার খুব সহজ উপায় সহ একটি নিখরচায় ভারিলোগ সিমুলেটর। আপনি ভেরিলোগটিও ব্যবহার করতে পারেন, আপনাকে সেখানে সীমাবদ্ধ করে না। নেই ইকারুস Verilog যা বড় এবং আরো সুপরিচিত হয়, পাশাপাশি, কিন্তু আমি সুপারিশ করবে Verilator আপনি Verilog জানতে চাই। ভিএইচডিএলের জন্য জিএইচডিএল রয়েছে , এর সাথে আমার অভিজ্ঞতা ভেরিলাটারের মতো ভাল ছিল না , তবে আপনার পা ভিজানোর চেষ্টা করার জন্য অন্তত একটি মুক্ত সরঞ্জাম রয়েছে। আপনার যদি সফ্টওয়্যার প্রোগ্রামিংয়ের অভিজ্ঞতা থাকে তবে আপনি ভিএইচডিএল থেকে দ্রুত ভেরিলোগ উপভোগ করতে এবং উপভোগ করতে পারেন।

আমি অবশ্যই লিওনের সাথে একমত, উভয় চেষ্টা করে দেখুন। fpga4fun এবং অন্যান্য ওয়েব পৃষ্ঠাগুলিতে কিছু ভাল তথ্য রয়েছে এবং সেগুলির কয়েকটি পৃষ্ঠাগুলি তারা আলোচনার বিষয়টির জন্য ভেরিলোগ এবং ভিএইচডিএল উভয়কেই সমানভাবে দেবে। দুটি পৃষ্ঠার তুলনা করার জন্য আমি এর মতো পৃষ্ঠাগুলি সর্বাধিক দরকারী। যদি এইচডিএল ব্যবহার করা আপনার ক্যারিয়ারে কিছু করতে চান তবে আমি উভয়ের সাথে কমপক্ষে কিছু ক্ষমতা রাখার পরামর্শ দিচ্ছি, সম্ভবত একজনের সাথে সত্যিই ভাল তবে অন্যটি ব্যবহার করতে পুরোপুরি অক্ষম হবেন না।


1
খুব ভাল টিপস। আমি আপনার উত্তরটি আমার এবং অন্যদের রেফারেন্স হিসাবে সম্পাদনা করেছি। +1
ড্যানিয়েল গ্রিলো

23

এ নিয়ে বহু পবিত্র যুদ্ধ হয়েছে। ভেরিলোগের একটি বিশেষ অসুবিধা হ'ল এর অ-বিবাদ-আচরণমূলক আচরণ। http://www.sigasi.com/content/verilogs-major-flaw


হ্যাঁ, এটি দেখতে খারাপ দেখাচ্ছে, তবে আমি নিশ্চিত নই: ভাষাতে বা এই নির্দিষ্ট সিনথেসাইজারটিতে এটি কোনও ত্রুটি?
স্টিভেন্ভ

4
এটি একটি ভাষার সমস্যা। বিভিন্ন সংশ্লেষক একটি অনির্দেশ্য পদ্ধতিতে তাদের নিজস্ব আচরণ চয়ন করে। মেন্টর সিমুলেটর বা ক্যাডেন্স সিমুলেটারে একই কোডটি চালান এবং আপনি বিভিন্ন ফলাফল পেতে পারেন।
ফিলিপ

20

উভয় সুবিধা এবং অসুবিধা আছে। ভিএইচডিএল আরও একাডেমিক, ভার্বোজ এবং জটিল। আপনাকে আরও কোড লিখতে হবে, তবে কঠোরতার অর্থ এটি কাজ করার সম্ভাবনা বেশি। ভার্জিলোগুলি ডিজিটাল ডিজাইনের জন্য সহজ, তবে জটিল বাগগুলি তৈরি করা সহজ করে তোলে। বিশ্ববিদ্যালয়গুলিতে ভিএইচডিএল বেশি দেখা যায়। বড় সেমিকন্ডাক্টর সংস্থাগুলিতে ভেরিলোগ বেশি দেখা যায়।

সাধারণত এক বা অন্যের পছন্দ আপনি যে সরঞ্জামগুলি ব্যবহার করছেন তা চালিত করে। কয়েকটি জনপ্রিয় এফপিজিএ সরঞ্জাম ভিএইচডিএল দিয়ে আরও ভাল করে। কিছু জনপ্রিয় এএসআইসি সরঞ্জাম ভেরিলোগের সাথে আরও ভাল করে। সুতরাং, কোনটি ভাল তা আপনি এটির সাথে কী করতে চান তার উপর নির্ভর করে।

বলুন যে আপনি ইটি স্কুলগুলিতে জনপ্রিয় আল্টেটার এফপিজিএ ব্যবহার করে ছোট প্রকল্পগুলি তৈরি করতে চান। বিনামূল্যে সরঞ্জামগুলি উভয়ই এইচডিএলকে সমর্থন করে। তবে আপনি দেখতে পাবেন যে ব্যবহারকারী সম্প্রদায় বেশিরভাগ ভিএইচডিএল ব্যবহার করছে। আপনি যদি সেই ভাষার জন্য বেছে নেন তবে আরও উদাহরণ কোড, পুনরায় ব্যবহারযোগ্য মডিউল ইত্যাদি থাকবে।

বিপরীতে, যদি আপনি গুরুতর চিপ ডিজাইনের কাজ করে কোনও বড় সংস্থায় কাজ করার মনস্থ করেন, তাদের প্রায় সকলেই আজকাল ভেরিলোগ ব্যবহার করেন। ভারি-শুল্ক সংশ্লেষণ, সিমুলেশন এবং যাচাইকরণ সরঞ্জামগুলি ভেরিলোগের জন্য অনুকূলিত। এবং ইদানীং, সিস্টেমভাইরিলগ - উচ্চ-স্তরের সিস্টেমের নকশা এবং যাচাইকরণ সমর্থন করার জন্য ভেরিলোগের এক্সটেনশন।

এখানে আরও আলোচনা এবং দরকারী লিঙ্কগুলি:

http://www.eetimes.com/electronics-blogs/programmable-logic-designline-blog/4032239/Verilog-versus-VHDL-which-is-best-

একটি শিক্ষানবিস / শখের জন্য, আপনি কি চিপস নিয়ে খেলতে চান তা সিদ্ধান্ত নেওয়া এবং বিক্রেতার কী উদাহরণ সরবরাহ করে তা দেখুন সেরা পরামর্শ। যে ব্যবহার। একবার আপনি অভিজ্ঞ ডিজিটাল ডিজাইনার হয়ে গেলে, অন্য ভাষা শিখতে কেবল কয়েক দিন সময় লাগবে।


4
ধন্যবাদ। শেষ বাক্যটি গুরুত্বপূর্ণ। এমন কি এমন সরঞ্জাম রয়েছে যা একজনকে অন্যকে রূপান্তরিত করে?
স্টিভেনভ

কিছু আমাদের সরকারী ইতিহাস রয়েছে যা আমি প্রবেশ করতে চাই না, তবে আপনি যদি মডেলিমের দিকে তাকান, যখন এটি ভেরিলোগ লাগে, এটি ভিএইচডিএলে রূপান্তরিত করে তখন সিমস। এটি অন্য উপায়ে এটি করে না, তাই কমপক্ষে ভেরিলগ থেকে ভিএইচডিএল আপনি সেই সরঞ্জামটির সাথে কিছু রূপান্তর দেখতে পাবেন। সেখানে অন্যান্য সরঞ্জাম রয়েছে যা নির্দিষ্টভাবে একটি উপায়ে বা অন্য রূপান্তর করে এবং তাদের ডেমো সংস্করণ রয়েছে।
old_timer

3
কিছু বাণিজ্যিক (সংশ্লেষ, স্থির বিশ্লেষণ) সরঞ্জামগুলি একটি ভাষায় এবং অন্যটিতে আউটপুট পড়বে। ফলাফলটি অ্যাডাব্লিকে ভাষায় রূপান্তরিত করার জন্য একটি সংকলক ব্যবহার করার মতো, এবং তারপরে সি বের করার জন্য একটি ডিসকপিলার ব্যবহার করে ফলাফলটি আপনার পছন্দ হবে না।
অ্যান্ডি ম্যাকসি

17

সংক্ষিপ্ত উত্তর : সিস্টেমভারিলোগ ব্যবহার করুন, তবে ভিএইচডিএলও শিখুন । পারলে ভেরিলোগ -2006 এড়িয়ে চলুন।

খুব দীর্ঘ উত্তর : এই মুহুর্তের জন্য, আমি ভেরিলোগ দ্বারা ধরে নিয়েছি আপনার অর্থ ভেরিলোগ -২০০১ যা সম্ভবত অন্যান্য উত্তরগুলিও অনুমান করে। সবচেয়ে ভাল পরামর্শ সম্ভবত উভয় শিখতে হবে, কিন্তু উভয় ব্যবহার (উত্তর শেষে এই বিষয়ে আরও)। নিম্নলিখিত পার্থক্যগুলি আবার শুরু করা যেতে পারে:

  • ভেরিলগ -2001 সংক্ষিপ্ত , যখন ভিএইচডিএল (খুব, খুব, খুব) ভার্জোজ
  • ভেরিলগ -১১১১ খুব নিম্ন স্তরের কনস্ট্রাক্টকে সমর্থন করে যা ভিএইচডিএল দ্বারা সমর্থিত নয় (তবে আপনি এগুলি সাধারণত নিবন্ধভুক্ত-স্থানান্তর স্তরের (আরটিএল) ডিজাইনের জন্য ব্যবহার করতে পারবেন না
  • ভিএইচডিএল আরও দৃ strongly ়ভাবে টাইপ করা হয় , যা সাধারণত তাত্ক্ষণিক শনাক্ত করা খুব সহজ করে তোলে
  • ভিএইচডিএল ভেরিলোগের চেয়ে অনেক বেশি অভিব্যক্তিপূর্ণ
  • ভেরিলগ -2001 এর মধ্যে আরও সি-জাতীয় সিনট্যাক্স রয়েছে, যখন ভিএইচডিএল আরও অ্যাডা-জাতীয়
  • ভেরিলোগ -১১১০ নতুনদের জন্য কিছু বিভ্রান্তিকর ধারণা থাকতে পারে (যেমন wireবনাম reg)

এটি বলেছিল যে, দুটি গুরুত্বপূর্ণ ভাষায় সবচেয়ে গুরুত্বপূর্ণ ধারণাগুলি ভাগ করে নেওয়া হয়েছে, যদিও বিভিন্ন নাম (যেমন alwaysবনাম process) দ্বারা এবং কোনও ক্ষেত্রেই এইচডিএল শিখতে অসুবিধা পিছনের ধারণাগুলির সাথে বেশি সম্পর্কিত (যেমন সমস্ত প্রক্রিয়াটির সম্মতি, এইচডব্লিউ) কনভেনশন ইত্যাদি) ভাষার চেয়ে itself পার্থক্য বিবেচনা করে, পছন্দটি ভেরিলোগ 2001 এবং ভিএইচডিএল এর মধ্যে হলে আমি ব্যক্তিগতভাবে ভিএইচডিএল-এর যে কোনও নবজাতককে সম্বোধন করব।

তবে, যেমনটি আমি বলেছি, আমার পরামর্শটি আসলে আপনার যদি ভিএইচডিএল বা ভেরিলোগ -২০০১ 2001 ব্যবহার করার নয় তবে যদি আপনি নির্বাচনের ক্ষমতা রাখেন। অনেক লোকেরা যা ধারণা করেন তার বিপরীতে, সিস্টেমভাইরোগ উচ্চ-স্তরের ভাষা নয় যা কেবলমাত্র সিস্টেম-স্তরীয় নকশা বা যাচাইকরণের জন্য দরকারী এবং সেগুলিকে ভাষার সাথে ভাগ করে নেওয়া যায় না যা সিস্টেমসির মতো একটি উচ্চ-স্তরের সংশ্লেষণ সরঞ্জামে খাওয়ানো যেতে পারে।

পরিবর্তে, SystemVerilog Verilog ভাষা একটি পূর্ণ আপডেট (Verilog-2005 উপর ভিত্তি করে, দেখতে http://en.wikipedia.org/wiki/SystemVerilog ) একটি সম্পূর্ণরূপে synthesizable উপসেট মিল আছে সংক্ষিপ্তকরনের সঙ্গে Verilog এর উচ্চতর expressivity উভয় চেয়ে ভেরিলগ -২০০১ এবং ভিএইচডিএল, আমার মতে উভয় বিশ্বের সেরা প্রদান করে।

ভেরিলোগ -২০০১, ভিএইচডিএল বা উভয় উপলভ্য নয় এমন সিস্টেম ভারিলেগ-এ উপলব্ধ খুব উল্লেখযোগ্য নির্মাণ / এক্সপ্রেশনগুলির উদাহরণগুলির মধ্যে রয়েছে:

  • always_ff, always_latch, always_combব্লক সহায়তা করে এমন ডিজাইনার যুক্তিবিজ্ঞান বিভিন্ন ধরণের বাস্তবায়নের ব্লকের মধ্যে অবিলম্বে পার্থক্য, এবং - জন্য always_combএবং always_latch- (! VHDL এবং Verilog অসীম বাগ, বিশেষ করে নতুনদের জন্য একটি উৎস) আবিষ্কার স্বয়ংক্রিয়ভাবে সংকেত দেয় যে সংবেদনশীলতা তালিকায় যেতে হবে
  • logicবিভ্রান্তিকর wireএবং regভেরিলোগ -2001 প্রকারের পরিবর্তে এমন ধরণের
  • প্যাকযুক্ত প্রকার যা সহজেই বহুমাত্রিক বাসগুলি তৈরি করতে দেয় (যেমন logic [N-1:0][M-1:0][P-1:0]), যেখানে ভেরিলগ -২০০১ শুধুমাত্র দ্বি-মাত্রিক বাসকে সমর্থন করে এবং ভিএইচডিএল ডিজাইনারকে অনুরূপ কাঠামো তৈরিতে নতুন ধরণের সংজ্ঞা দিতে বাধ্য করে
  • struct(ভিএইচডিএলের অনুরূপ record) এবং এমনকি উচ্চ-স্তরের কনস্ট্রাক্টগুলিও interfaceনিয়মিত কাঠামোগত মডেলগুলির জন্য (যেমন একটি বাসের বন্দর) খুব কার্যকরভাবে ব্যবহার করা যেতে পারে

গবেষণার উদ্দেশ্যে বেশ জটিল মাল্টিকোর সিস্টেমে কাজ করার সময় আমি এই সমস্ত পার্থক্য "আমার ত্বকে" পরীক্ষা করেছি। এটি এখন অনেক সরঞ্জাম দ্বারা সমর্থিত, এবং আমি নিশ্চিতভাবেই জানি (এটি প্রায় প্রতিদিন ব্যবহার করে) এটি সাইনোপসিস সরঞ্জাম দ্বারা সমর্থিত (এএসআইসি এবং এফপিজিএ সংশ্লেষণ উভয়ের জন্য), জিলিনেক্স ভিভাডো (এফপিজিএ সংশ্লেষণের জন্য), এবং সিমুলেশন সরঞ্জামগুলি যেমন মেন্টর গ্রাফিক্স মডেলসিম, ক্যাডেন্স এনসিসিম এবং স্নোপসিস ভিসিএস হিসাবে।

সম্পূর্ণরূপে সম্পূর্ণ হওয়ার জন্য, হার্ডওয়্যার ডিজাইনারের সরঞ্জামবাক্সে আরও দুটি উল্লেখযোগ্য ধরণের ভাষা রয়েছে (যদিও এই সরঞ্জামগুলির গুণমানের ক্ষেত্রে অনেক পরিবর্তন হতে পারে):

  • এইচডিএল প্রজন্মের ভাষা যেমন মাইএইচডিএল (পাইথন-ভিত্তিক) এবং রকেট (স্কালা ভিত্তিক)। এখানে ধারণাটি হ'ল: আপনি আপনার নকশাটিকে উচ্চ স্তরের ভাষায় বর্ণনা করেছেন তবে এখনও খুব এইচডিএল-ইশ ধারণাগুলি ব্যবহার করছেন (যেমন সমবর্তী ব্লকস, সুস্পষ্ট টাইমিং) এবং তারপরে আপনি প্রচলিত এইচডিএল তৈরি করেন (সাধারণত ভেরিলগ -১ 2001)। সত্যই আমি এই সুপারগুলি দরকারী হিসাবে খুঁজে পাচ্ছি না কারণ এইচডিএল থেকে বিমূর্ত পদক্ষেপ ছোট এবং সিস্টেমভাইরোগ ইতিমধ্যে উচ্চতর স্তরের ধারণাগুলি সরবরাহ করে, এই সুবিধাটি সহ যে এটি কোনও মধ্যবর্তী পদক্ষেপ ছাড়াই সংশ্লেষণ প্রবাহে সরাসরি খাওয়ানো হয়।
  • ভিভাদো এইচএলএস, লেগআপ, ক্যালিপ্টো ক্যাটালপাল্ট এবং আরও অনেকের মতো উচ্চ-স্তরের সংশ্লেষ সরঞ্জাম। এগুলি প্রায়শই সি, সি ++ বা সিস্টেমসিতে এবং সাধারণত আনটেমহীনভাবে খুব উচ্চ-স্তরের বিবরণ নিয়ে থাকে এবং (সাধারণত অপঠনযোগ্য) ভেরিলোগে একটি সেরা-প্রচেষ্টা বাস্তবায়ন উত্পন্ন করে। তারা কিছু অবজেক্ট তৈরিতে বেশ ভাল (উদাহরণস্বরূপ, কনভোলশন, এফএফটি ইত্যাদি ইত্যাদির জন্য এইচডাব্লু এক্সিলারেটর) তবে সাধারণত সাধারণ উদ্দেশ্য নয়। উদাহরণস্বরূপ, বেশিরভাগ এইচএলএস সরঞ্জামগুলিতে একটি প্রসেসরের কোর ডিজাইন করা অসম্ভব - কেবলমাত্র আমি জানি ব্লুস্পেক, যা সত্যই এইচএলএস এবং এইচডিএল প্রজন্মের মধ্যে একটি সংকর।

এটি আমি কতটা শিখেছি তা বেশ সুন্দর। প্রথমে ভিএইচডিএল শিখেছি এবং এটিকে তিন বছর কোড করেছি। এর পরে 3 মাস কোডিং ভেরিলগ ব্যয় করল এবং (খুব তাড়াতাড়ি) সিদ্ধান্ত নিয়েছে সিস্টেমভেরিলগ যাওয়ার উপায়। এখন আমি প্রায় একচেটিয়াভাবে এসভি কোড করি, তবে ভিএইচডিএল ব্যবহার করার সময় আমি যে বোঝা এবং কোডিং কৌশল শিখেছিলাম তার উপর নির্ভর করে।
stanri

12

আমার 13 বছরের ক্যারিয়ারটি 80% ASIC এবং 20% এফপিজিএ ছিল।

ভিএইচডিএল 1 ম 3.5 বছরের জন্য ব্যবহৃত হয়েছিল এবং বাকীগুলি ভেরিলগ। আমি ভেরিলোগে স্যুইচিংকে কঠিন খুঁজে পেলাম না এবং অবস্থানের জন্য (সিলিকন ভ্যালি) এবং গতির কারণে আমি আজ কেবল ভেরিলোগে কোড করি।

এছাড়াও, আমি পারফরম্যান্সের জন্য অনেকগুলি এসিঙ্ক ইন্টারফেস, ল্যাচস এবং গেট লেভেল আধা কাস্টম ডিজাইন করি, সুতরাং আমার জীবনে এখন ভিএইচডিএল খুব কম ব্যবহার করে। পরিবর্তে আমি বড় বড় ইঞ্জিনিয়ারিং প্রকল্পগুলির জন্য বাছাই করতে এবং ব্যবহার করতে সিস্টেমভারিলোগ এবং সিস্টেমসিকে আরও অনেক দরকারী বলে মনে করেছি।

এক পর্যায়ে, ভেরিলাটর (বিনামূল্যে! এবং দ্রুত) এর মতো সরঞ্জামগুলি আমাকে সমালোচনামূলক সিমুলেশনের জন্য প্রচুর প্রয়োজনীয় তহবিল সংরক্ষণ করেছিল। ভিএইচডিএলের জন্য আপনার কাছে এই বিকল্পটি (এখনও) নেই। আপনি যদি সর্বদা একটি ধনী পুলে সাঁতার কাটেন বা আপনার> 1 এম গেট ডিজাইন না করেন তবে আপনার কখনই এটির প্রয়োজন হবে না।

নিখরচায়, দৃ H় এইচডাব্লু ডিজাইনের নীতিগুলি বিকাশের আগে ভিএইচডিএল নতুনদের পক্ষে আরও ভাল। এখানে ইডিএর লোকদের সাথে আমার যোগাযোগগুলি সূচিত করে যে তারা গত 10 বছর ধরে খুব কম ভিএইচডিএল বিকাশ করেছে, এবং এইচএলএসের পিছনে আজ একটি বড় ড্রাইভ রয়েছে। সুতরাং আশেপাশে অনেক ভিএইচডিএল সরঞ্জাম বিকাশকারী থাকবে না ...


ভিএইচডিএল সিমুলেশন জন্য ফ্রিএইচডিএল? অগ্রভাগ হিসাবে Qucs।
মার্টিন

8

আমি ভিএইচডিএল-এর পক্ষে গিয়েছিলাম, বেশিরভাগ কারণেই আমি সি কে ভালভাবে জানি এবং ভেরিলোগ লেখার চেষ্টা করতে গিয়ে আমাকে লেখার প্রবণতা দেখায় যেন আমি সিপিইউকে টার্গেট করছি যাতে হার্ডওয়্যার বর্ণনা না করা হয়।

কোডের একটি পৃষ্ঠা লিখতে এবং আপনি যা লিখেছিলেন তা বুঝতে পেরে অত্যন্ত বিরক্তিকর হ'ল এটি একটি হার্ডওয়্যার ডিজাইন নয় কার্যকরভাবে একটি অনুক্রমিক প্রোগ্রাম, হ্যাঁ এটি সংশ্লেষ করবে তবে ফলাফলটি কুরুচিপূর্ণ এবং ধীর ছিল।

ভিএইচডিএল যথেষ্ট আলাদা ছিল যে প্রবাহকে নিয়ন্ত্রণ না করে লজিক ডিজাইনের ক্ষেত্রে বিবেচনা করা আমার পক্ষে অনেক সহজ মনে হয়েছিল।

দিনের শেষে, ভাষা খুব কমই শক্ত হয়, দক্ষতা টাইপিংয়ের পরিবর্তে সিস্টেম ডিজাইনে থাকে।

শুভেচ্ছা, ড্যান।


5

গত বছর যে বিশ্ববিদ্যালয়টিতে আমি পড়াশোনা করেছি, তারা প্রাথমিকভাবে দুটি মুক্ত কোর্স প্রচার করেছে। উভয়ই একই বিষয়বস্তু coveredেকেছিল তবে একটিতে ভিএইচডিএল এবং অন্যটি ভেরিলোগ ব্যবহার করে।

অবশ্যই আমি উভয় অধ্যাপককে ভিএইচডিএল এবং ভেরিলোগের পার্থক্য জিজ্ঞাসা করেছি। দুজনই সেরা নির্বাচন করতে পারেনি।

কোনটি আমার পক্ষে ভাল হতে পারে তা জানতে আমার উভয় কোর্সই করতে হয়েছিল। আমার প্রথম ধারণাটি ছিল ভিএইচডিএল বেশি পাসকাল-জাতীয় এবং ভেরিলোগ আরও সি-মত

এর পরে আমি কেবল ভিএইচডিএল করার সিদ্ধান্ত নিয়েছি কারণ, আমি তখন ডেলফির সাথে কাজ করছিলাম।

তবে আমি কোর্সের পরে কখনও এফপিজিএ নিয়ে কাজ করি নি। সুতরাং আমি আপনাকে সাহায্য করতে পারেন এই সেরা।


5

আমি প্রায় সম্পূর্ণ ভিএইচডিএল ব্যবহার করি। আমার অভিজ্ঞতা হ'ল ভিএইচডিএল ইউরোপে প্রচলিত, যুক্তরাষ্ট্রে ভেরিলগ, তবে ভিএইচডিএল মার্কিন যুক্তরাষ্ট্রেও অবিচ্ছিন্ন অগ্রগতি অর্জন করে চলেছে। ভিএইচডিএল-এর শক্তিশালী টাইপিং আমাকে বিরক্ত করে না কারণ আমি এটি একটি পুরানো ফ্যাশনযুক্ত হার্ডওয়্যার ডিজাইনের ভাষার মতো ব্যবহার করি যেমন ছোট প্রোগ্রামেবল লজিক যেমন প্যালসএম বা আল্টেরার এএইচডিএল হিসাবে ব্যবহৃত হয়।

ভিএইচডিএল ব্যবহার করা বেশিরভাগ মানুষের পক্ষে বড় সমস্যা হ'ল শক্তিশালী প্রকার। তারা std_logic_vector (যা আমি লক্ষ্যগুলির মধ্যে তারের সংগ্রহ হিসাবে বিবেচনা করি) এবং "int" এর মধ্যে অ্যাসাইনমেন্ট তৈরি করতে চাই (যা আমি কম্পিউটারে সঞ্চিত একটি সংখ্যা হিসাবে মনে করি যা ডিজাইনটি সংকলন করছে)। আমি সাধারণত সবচেয়ে বিরক্তিকর ধরণের রূপান্তরটি বিট_ভেেক্টর (যা আমি ডিজাইনের সংকলনকারী কম্পিউটারের তারের সংকলনের বর্ণনা হিসাবে মনে করি) এবং std_logic_vector এর মধ্যে রয়েছে। আসলে কী এখন আমাকে স্ট্যাকেক্সচেঞ্জে টেনে নিয়ে গেছে তা চর (সংকলনকারী কম্পিউটারে সঞ্চিত একটি চরিত্রের ভেরিয়েবল) থেকে ইন-তে রূপান্তর খুঁজছিল।

আগের দিন, ভিএইচডিএল এবং ভেরিলোগের মধ্যে সর্বাধিক বিখ্যাত দ্বন্দ্বটি ছিল এএসআইসি এবং ইডিএ ম্যাগাজিন দ্বারা সাজানো নকশা প্রতিযোগিতা। গুগল "একটি হার্ডওয়্যার ডিজাইন প্রতিযোগিতা থেকে অপ্রত্যাশিত ফলাফল: ভেরিলোগ ওয়ান এবং ভিএইচডিএল হারিয়েছেন? - আপনি বিচারক হোন!" উদাহরণস্বরূপ: http://www.ee.ed.ac.uk/~gerard/Teach/Verilog/manual /Example/lrgeEx2/cooley.html

প্রতিযোগিতাটি ছিল মোটামুটি জটিল 9-বিট রেজিস্টার কার্যকর করার জন্য। এটিতে উপরে / ডাউন গণনার পাশাপাশি আরও কয়েকটি জিনিস ছিল। ফলাফলগুলি ছিল যে 9 ভেরিলোগ ডিজাইনারগুলির মধ্যে 8 টি কোড 90 মিনিটের পরে চলছিল। 5 ভিএইচডিএল ছেলের জিরোর কিছু কাজ ছিল।

আমি অন্য কারও মতো ভিএইচডিএল ব্যবহার করি না। আমি যেভাবে এটি ব্যবহার করি, প্রকারগুলি আমার ঘন ঘন প্রায়শই আসে না। আমি উপরের প্রকল্পটি একইভাবে বাস্তবায়িত করেছি আমি অন্য সমস্ত কিছু, সম্পূর্ণভাবে স্টডি_লগিক এবং স্টিড_লোগিক_ভেক্টর, আইইইই স্বাক্ষরযুক্ত লাইব্রেরি ব্যবহার করে (দিনের পিছনে) ব্যবহার করে। পরিবর্তে, আমি কল্পনা করি যে ভিএইচডিএল ডিজাইনাররা তাদের কাজটি আন্তঃকরণের সাথে করেছে এবং প্রকার রূপান্তরটিতে হারিয়ে গেছে।

টাইপ রূপান্তরটিতে আপনি হারিয়ে যেতে পারেন এমন দুটি জায়গা রয়েছে: (ক) আপনার নকশা এবং (খ) আপনার পরীক্ষার বেঞ্চ। আমার জন্য, টেস্ট বেঞ্চ (প্রায়) সম্পূর্ণভাবে std_logic এ লিখতে সহজ, তাই টেস্ট বেঞ্চটি নিজেই (প্রায়) সংশ্লেষযোগ্য। "প্রায়" দ্বারা আমি বোঝাতে চাইছি যে আমি একটি বিলম্বিত অ্যাসাইনমেন্টের সাথে ঘড়িটি সংজ্ঞায়িত করব যা সংশ্লেষিত করা যায় না। তবে এটি (এবং মন্তব্যগুলি) বাদে আপনি আমার পরীক্ষার বেঞ্চগুলি সংশ্লেষিত যুক্তি থেকে আলাদা করতে পারবেন না।

যাইহোক, আপনি প্রথম ভাষা শেখার সিদ্ধান্ত নেওয়ার আগে (প্রায় প্রত্যেকেরই পছন্দ থাকে তবে প্রায় সবাই উভয়ই ব্যবহার করেন), আমি সেই প্রতিযোগিতাটি সন্ধান করার এবং এর উপর ভাষ্যটি পড়ার পরামর্শ দেব।

ভিএইচডিএল নিয়ে আমি যে সমস্যাগুলি দেখেছি তার মধ্যে একটি হ'ল এটি যেহেতু এটি একটি ভার্চুয়াল ভাষা, তাই এটির কোনও মন্তব্য করার প্রয়োজন নেই বলে বিশ্বাস করার একটি প্রবণতা (ডিজাইনারদের মনে) বলে মনে হয়। অবশ্যই সত্য নয়, আপনার কোডের আগে মন্তব্যগুলি লেখা উচিত।


1
আমি মনে করি এটি একটি আকর্ষণীয় দাবি যে ভিএইচডিএল-এর শক্ত টাইপিংই সবচেয়ে বড় সমস্যা। আমার কাছে শক্ত টাইপিং একটি কেন্দ্রীয় বৈশিষ্ট্য যা আমাকে বিমূর্তির স্তর বাড়াতে সক্ষম করে। হার্ডওয়্যার ডিজাইনে আপনার এমন কাঠামো বর্ণনার মধ্যে একটি পছন্দ রয়েছে যা কোনও আচরণ প্রয়োগ করে, বা এমন একটি আচরণকে বর্ণনা করে যা কোনও কাঠামো কার্যকর করে। ইতিহাস দেখিয়েছে যে বিমূর্ততা সফল বৃহত-সিস্টেম ডিজাইনের মূল চাবিকাঠি এবং ডিজিটাল সিস্টেমগুলিও এর ব্যতিক্রম নয়। আমি মনে করি এর জন্য আপনি ভাষার বৈশিষ্ট্যগুলির একটি খুব সংকীর্ণ উপসেট সীমাবদ্ধ করে অনেক কিছু মিস করছেন।
ট্রেন্ডড

2
সত্য, তবে আমি "বৃহত-সিস্টেম ডিজাইন" এ বিশেষায়িত নই, আমি খুব ছোট দক্ষতার জন্য বিশেষ দক্ষতার প্রয়োজন। ইলেকট্রনিক্স ডিজাইনের ক্ষেত্রে দক্ষতা কখনও কখনও সর্বাধিক হয়ে ওঠে এমন অনেকগুলি কারণ রয়েছে। কখনও কখনও এই ছোট ছোট সিস্টেমগুলি থেকে একটি বৃহত সিস্টেম তৈরি করা হয় এবং এই ধরণের নকশা অনেক বেশি দক্ষতা দেয় ।
কার্ল ব্রানেন

5

আমি আমার দুটি সেন্ট ওজন করব: আমি নিজেই একজন ভারী ভিএইচডিএল ব্যবহারকারী, তবে ভেরিলোগ অবশ্যই কাজটি ঠিকভাবে সম্পাদন করতে পারবেন। আপনি সর্বদা একে অপরকে মুড়ে রাখতে পারেন (সময় এবং টাইপ ব্যয়ের সাথে হলেও)।

আমি যা পেয়েছি তা হ'ল কাঁচা ভিএইচডিএলে প্রচুর সহজ কার্যকারিতা নেই। (বা বা এবং: পুরো স্টডি_লগিক_ভেক্টরটি মাথায় আসে)। সুতরাং, নিজেকে ডিবাগড, সিনথেজেজেবল ফাংশনগুলির একটি টুলবক্স তৈরি করা ভিএইচডিএল ব্যবহার করার সময় আপনার উত্পাদনশীলতা বাড়িয়ে তোলার এক দীর্ঘ পথ যেতে পারে।

হয়তো কেউ একটি ভাল ওপেন সোর্স লাইব্রেরি রেফারেন্স করতে পারে যা এই সমস্ত "ভাল-থেকে-থাকা" ফাংশনগুলি সরবরাহ করে?


2
আমি বেশ নিশ্চিত যে ওএইচডিএল ২০০ AND এ এবং / অথবা হ্রাস হ'ল কিছু অন্যান্য দরকারী আপডেটের সাথে অন্তর্ভুক্ত।
ks0ze

4

পূর্ববর্তী উত্তরগুলি দুটি ভাষার মধ্যে বিপরীতে রয়েছে এবং এই নিবন্ধটি পয়েন্টগুলিও খুব ভালভাবে কভার করে: http://www.bitweenie.com/listings/verilog-vs-vhdl/

আমি আরও কয়েকটি পয়েন্ট তৈরি করতে চাই যা উল্লেখ করা হয়নি।

আমি কয়েকটি কারণে প্রথমে ভিএইচডিএল শেখার পরামর্শ দেব। শক্তিশালী টাইপিংটি সহজ কিছু প্রাথমিকের ভুলগুলি সংকলক দ্বারা ধরাতে সহায়তা করে। আমি শুনেছি ভিএইচডিএল প্রথমে ভেরিলোগ ব্যবহারের পরে বাছাই করা আরও কঠিন।

সত্যি বলতে, আপনি কোনও একটি ভাষা নিয়ে ভুল করতে পারবেন না; এবং আপনি যদি এই শিল্পে খুব দীর্ঘ সময় ধরে কাজ করছেন তবে অবশেষে আপনি উভয় ভাষাই যেভাবেই শিখতে পারবেন।

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.