আমি কীভাবে এইচডিএল শিখব


24

আমি এই সেমিস্টারে ডিজিটাল ডিজাইনের একটি কোর্স পেয়েছি এবং কেবল এটি পছন্দ করি। এখন আমি জানি যে এমবেডেড সিস্টেম এবং ডিজিটাল ডিজাইনের বেশিরভাগ কাজ প্রথমে কম্পিউটার সিমুলেটরগুলিতে করা হয় এবং তারপরে হার্ডওয়্যার ব্যবহার করে প্রয়োগ করা হয়। সুতরাং আমি ভাবছিলাম যে কীভাবে আমার এইচডিএল শিখতে হবে? আমার কয়েকটি প্রশ্ন আছে

  1. কি? আমি কী জানি না মানগুলি কী তা শিখতে চাই তবে কোনটি বেছে নেওয়া সহজ। আমি বুঝতে পেরেছি যে বেশিরভাগ এইচডিএল এফপিজিএ ব্যবহারের জন্য ডিজাইন করা হয়েছে যা আমি তা করি না।
  2. কিভাবে? আমি কি স্বাধীন উদাহরণ সহ কোনও পাঠ্যপুস্তকে অনুসরণ করতে পারি বা একটি ছোট সিস্টেম বাস্তবায়নের মতো কোনও প্রকল্পের (আমি ট্র্যাফিক লাইট নিয়ন্ত্রণের মতো কিছু হতে পারে) গ্রহণ করা উচিত।
  3. কোথায়? আমি কোথায় সংস্থান পেতে হবে?

1
আপনি কী চান তা আপনার এইচডিএল থেকে পরিষ্কার করতে পারেন? বেশিরভাগ এইচডিএল এফপিজিএ ব্যবহারের জন্য ডিজাইন করা হয়েছে কারণ এ কারণেই বেশিরভাগ লোকের জন্য এফপিজিএ প্রয়োজন। সাধারণভাবে, একটি সিমুলেটর (গ্রাফিক্যাল স্কিম্যাটিক থেকে কাজ করা) নন-এফপিজিএ এবং নন-এএসআইসি সার্কিট ডিজাইনের জন্য ব্যবহৃত হয়। আপনি কি তৈরি করতে চান: (1) বিচ্ছিন্ন ডিজিটাল সার্কিট, অর্থাৎ X৪ এক্সএক্স উপাদান, (২) এনালগ সার্কিট, অর্থাত্ স্যুইচিং রেগুলেটর, আরএফ অ্যান্টেনা / ট্রান্সসিভারস, জেনেরিক ওপ-অ্যাম্প সার্কিট, বা (৩) অ্যানালগ মিশ্র-সংকেত সার্কিট, অর্থাৎ ইন্টারফেসিং উভয় ডিজিটাল এবং অ্যানালগ সংকেত মধ্যে।
কেভিন ভার্মির

আমি মনে করি হার্ডওয়্যার বর্ণনা করতে আমি এইচডিএল শিখতে চাই। এটি 1, 2 এবং 3 Also এছাড়াও একটিতে আমি এটি নন এফপিজিএ নির্দিষ্ট হওয়া চাই কারণ আমার কাছে সেগুলি পাওয়ার অ্যাক্সেস বা আর্থিক নেই।
রিক_2047

আমি মনে করি হার্ডওয়্যার বর্ণনা করতে আমি এইচডিএল শিখতে চাই। এটি 1, 2 এবং 3 Also এছাড়াও একটিতে আমি এটি নন এফপিজিএ নির্দিষ্ট হওয়া চাই কারণ আমার কাছে সেগুলি পাওয়ার অ্যাক্সেস বা আর্থিক নেই। আমি মনে করি মূলত আমি যা ভাবি তা অনুকরণের জন্য এইচডিএল ব্যবহার করতে চাই। এই বছর আমার একটি বড় পরিকল্পনা আমার নিজের কম্পিউটার তৈরি করা। আমি মনে করি এটির জন্য আমি 74xx ব্যবহার করব। আমার তত্ত্বগুলি এবং সার্কিটগুলি কেন পরীক্ষা করতে হয় তা এইচডিএল এর ধারণাটি সামনে আসে।
রিক_2047

উত্তর:


8

আপনি কি এইচডিএল ব্যবহার করতে চান তা স্পষ্ট করে বলতে পারেন? পছন্দগুলি মূলত ভেরিলগ বা ভিএইচডিএল, [ইডিআইটি] এবং তাদের আত্মীয়, ভেরিলোগ-এএসএম এবং ভিএইচডিএল-এএসএম (এনালগ মিশ্র-সংকেত)। [/ সম্পাদনা] ভেরিলগের কিছু সি-এর মতো সিনট্যাক্স রয়েছে, যা আপনি সি এর সাথে আগে কাজ করেছেন কিনা তা বেছে নেওয়া সহজ করে তোলে, তবে এটি খারাপ অভ্যাস বিকাশও সহজ করে তোলে - আপনি সি তে হার্ডওয়্যার প্রোগ্রাম করতে পারবেন না, কারণ এটি সব সমান্তরাল! সি এর মতো এটিও ধরে নেয় যে আপনি কী করছেন তা আপনার জানা আছে এবং নিজেকে পায়ে আটকানো খুব সহজ। ভিএইচডিএল আপনাকে সম্পূর্ণ ভিন্ন উপায়ে ভাবতে বাধ্য করে, যা সহায়ক তবে কঠিন but এটি আরও ভার্বোজ এবং যদি আপনি কোনও অদ্ভুত কিছু করেন তবে আপনাকে সতর্ক করার সম্ভাবনা বেশি। এই স্ল্যাশডট আলোচনা বা এই নিবন্ধটি দেখুন

সম্পাদনা: "নেটলিস্ট ভাষাগুলি" এমন কিছু নয় যা আমি ডিজাইনের কাজের জন্য ব্যবহার করেছি (একটি পাঠ্য সম্পাদক এ), তবে আমি মনে করি আপনি পারতেন। স্পাইস, ক্যাডসফট agগলের ফর্ম্যাট এবং ইডিআইএফ সমস্ত উদাহরণ (খুব আলাদা উদ্দেশ্য সহ) যা মনে আসে। আমার স্কিম্যাটিকটি সঠিক কিনা তা যাচাই করার জন্য আমি কেবল নেটলিস্ট ব্যবহার করেছি (আমার agগল স্কিম্যাটিকের প্রতিটি সংযোগটি বোধগম্য করে), সিমুলেটর দ্বারা সরবরাহিত অ্যাবস্ট্রাকশনটিকে টুইঙ্ক করতে (স্পাইস, সি-তে যেমন এএসএম বিবৃতি ব্যবহার করে), বা বিভিন্ন প্রোগ্রাম (ইডিআইএফ) এর মধ্যে রফতানি / আমদানি করুন। স্পেকটের netlisting ভাষা Verilog-একটি [nalog] এবং মসলা এর সাথে সম্পর্কিত করা হয়, এবং নকশা এবং যাচাই কাজের জন্য ডিজাইন করা হয়েছে। মাস্তুল
একটি উপাদান মডেলিং ভাষা যা ভেরিলোগ-এএমএস এবং ভিএইচডিএল-এএমএসের সাথে সামঞ্জস্যপূর্ণ। এই ভাষাগুলিতে টিউটোরিয়াল অনুসন্ধান করে দেখা যায় যে কৌশলগুলি ক্যাপচার ইউটিলিটির মতো দেখতে এমন সরঞ্জামগুলি সাধারণত নেটলিস্টিং ভাষায় প্রোগ্রামিংয়ের পরিবর্তে ব্যবহৃত হয়।

আমি জিলিনেক্স স্পার্টান এফপিজিএ এবং একটি ডিজিটাল ডেভ বোর্ডও দ্বিতীয় করব । যাইহোক, হে এনজেনহেরো (শিক্ষার ছাড়ের মূল্য) দ্বারা উল্লিখিত স্টার্টার বোর্ডে ($ 150) ইথারনেটের আপনার যদি প্রয়োজন না হয় তবে আমি বেসগুলি ($ 60) বা নেক্সিস (100 ডলার) সাথে যেতে চাই। বেসগুলি এবং নেক্সিসগুলি সস্তা এবং তাই বিদ্যালয়ে বেশি জনপ্রিয়, তাই অনলাইনে আরও টিউটোরিয়াল এবং ল্যাব রয়েছে।


আমি ভাবছিলাম যে তথাকথিত "নেটলিস্ট" ভাষা শেখা ভেরিলোগ বা ভিএইচডিএল শেখার চেয়ে সুবিধাজনক বা সহজতর হবে?
রিক_2047

বলা কঠিন. এটি আপনার ভাষার সাথে আপনি কী করতে চান তার উপর নির্ভর করে। আপনি কী করতে চান এবং কোন অর্ধ-ডজন ভাষার প্রতিটি ক্রমবর্ধনের জন্য এবং অনেকগুলি ব্যবহারের ক্ষেত্রে কীভাবে এবং কোথায় ব্যবহার করতে চান সে সম্পর্কে আপনি কী ধরনের ভাষা ব্যবহার করতে চান সে সম্পর্কে আমরা কী আরও ভাল ধারণা পেতে পারি?
কেভিন ভার্মির

9

আমি আপনার মতো প্রায় একই পরিস্থিতিতে আছি।

আমি কি করি:

আমি যে কলেজে পড়াশোনা করেছি সেখানে ভিএইচডিএল একটি নিখরচায় বেসিক কোর্স করতাম। আমি স্পার্টান 3 ই বোর্ডের সাথে খেলতাম ।

সুতরাং, আমি বোর্ডটি কিনেছি এবং আমি খেলতে শুরু করব।

আমার এক বন্ধু এই বইগুলির পরামর্শ দিয়েছে:

  1. ডিজিটাল সিস্টেমগুলির দ্রুত প্রোটোটাইপিং , জেমস ও হ্যামলেন, মাইকেল ডি ফুরম্যান
  2. দুনি প্রকাশনা - এইচডিএল চিপ ডিজাইন , ডগলাস জে স্মিথ

এবং তিনি এই দস্তাবেজের পরামর্শও দিয়েছেন:

জিলিনেক্স সংশ্লেষ এবং সিমুলেশন ডিজাইনের গাইড


1
আমি হ্যামলিন এবং ফুরম্যান বইটি দ্বিতীয় করতাম। আমি আমার নিজের ছোট্ট আলটিরা ফ্লেক্স 10 কে 10 বোর্ড ডিজাইন করেছি এবং বইটির বেশিরভাগ কোড এটির সাথে ব্যবহার করতে সক্ষম হয়েছি। এটি একটি রান্নাঘর, তবে এর চেয়ে খারাপ আর কিছুই নয়।
লিওন হেলার

4
  1. দুটি এইচডিএল ভাষা রয়েছে, সেগুলি ভিএইচডিএল এবং ভেরিলোগ, আপনার অধ্যাপকের কাছে জিজ্ঞাসা করুন যা আপনি কীভাবে কাজ করে তা শিখবেন এবং দেখার চেষ্টা করবেন: সিনট্যাক্স এবং কীভাবে আপনি এটিতে মডিউল তৈরি করতে পারেন এবং সমস্ত ডিজিটাল সার্কিট সম্পর্কে একটি বড় পর্যালোচনা করতে পারেন।

  2. কোনও জিনিস 1 করার আগে, নিশ্চিত হয়ে নিন যে আপনি ডিজিটাল সার্কিট সম্পর্কে সত্যই সব কিছু জানেন, কারণ এইচডিএল কোনও নতুন কিছু তৈরি করে না, আপনি কেবল এই জিনিসগুলিকে অন্যভাবে ব্যবহার করেন। সুতরাং আপনি উপরের দুটি বইয়ের একটি ব্যবহার করতে পারেন, কিছু অনুশীলন করতে, শিক্ষককে তিনি সুপারিশ করেছেন এমন বইগুলি জিজ্ঞাসা করুন এবং সেগুলি বা উপরের দুটিয়ের একটি ব্যবহার করুন।

  3. শিক্ষককে জিজ্ঞাসা করুন যে এফপিজিএ তারা কি ক্লাসে ব্যবহার করে, যদি এটি আল্টেরা, জিলিনেক্স, ল্যাটিস বা অন্য, যদি আল্টেরা কোয়ার্টস ওয়েব সংস্করণ ডাউনলোড করে, যদি জিলিনেক্স, আইএসই ওয়েবপ্যাক, এর মধ্যে একটি বিনামূল্যে ডাউনলোড করুন এবং একটি সফ্টওয়্যার সম্পর্কে জানার চেষ্টা করুন এবং সেগুলির একটিতে সিমুলেশনগুলি আপনার কিছু অনুশীলন করুন।

আপনি ঠিক বলেছেন, এইচডিএল-র প্রায় 90% কাজ সিমুলেটরগুলিতে রয়েছে, সুতরাং মোডেলসিম সিমুলেটর এবং / অথবা xilinx এ আইএসআইএম সিমুলেটর সম্পর্কে শিখুন, তারা আদেশ দেয় এবং কীভাবে টেস্টবেঞ্চগুলি করতে হয়।


দুটি প্রধান এইচডিএল। অনেকগুলি কম জনপ্রিয় রয়েছে যেমন সিইউপিএল, মাইএইচডিএল এবং লাভা। আমার বিশ্ববিদ্যালয়ের ডিজিটাল ডিজাইন কোর্সে ভিএইচডিএল উল্লেখ করা হয়েছে তবে একটি ল্যাবটিতে সিইউপিএল ব্যবহার করা হয়েছিল। উইকিপিডিয়াতে আরও: এন.ইউ.ইউইকিপিডিয়া.র.উইকি /
ইয়ান ভার্নিয়ার

3

আপনি যেখানেই কাজ শেষ করবেন না কেন আপনাকে ইতিমধ্যে তারা ব্যবহার করছে একই HDL ব্যবহার করতে হবে - যাতে ভাড়া নেওয়ার চেষ্টা করার সুযোগ বাড়ানোর জন্য এবং ভেরিলোগ এবং ভিএইচডিএল উভয়ের সাথে কিছুটা সময় ব্যয় করতে পারেন। এটি বেশ সহজ ব্যবহৃত হত: ভেরিলোগ ইউরোপের মার্কিন যুক্তরাষ্ট্র এবং ভিএইচডিএল-এ ব্যবহৃত হত - আজকাল এটি কোনও সাধারণ নয়।

উত্থিত কেউ ভিএইচডিএলের জন্য 'আলাদাভাবে ভাবতে' শেখার কথা উল্লেখ করেছেন - আপনার এটি করতে হবে ভেরিলোগের জন্যও এটি সি এর মতো দেখতে লাগে তবে এটি হয় না - শুরু করার জন্য একটি ভাল জায়গাটি সংশ্লেষণের সময় তারগুলি এবং ফ্লপগুলি কোথায় তৈরি করা হয়েছিল তা দেখতে এবং দেখতে হবে - একবার আপনি পৌঁছেছেন যেখানে আপনি সেগুলি দেখতে পারবেন এবং আপনার মাথার সময়কালের ঝুঁকিগুলি কেবল 'দেখতে' পারা যায় আপনি সেখানে বেশিরভাগ পথ


2

আইক্রাস ভেরিলোগ বা ভেরিলেটর হ'ল আমি ভারিওলগের সাথে শেখার বা খেলার জন্য সুপারিশ করব। ghdl আমি vhdl সঙ্গে খেলতে হবে কি। মূলধারার থেকে অনেক দূরে তবে আমি সাইক্লিটিসিটি- cdl.sf.net এরও সুপারিশ করব যার নিজস্ব সিম পরিবেশ ইত্যাদি রয়েছে এবং সংশ্লেষযোগ্য ভেরিলোগ তৈরি করে। সিমুলেটরগুলির দ্বারা উত্পাদিত .vcd ফাইলগুলি পরীক্ষা করার জন্য gtkwave ব্যবহার করুন এবং শিখুন।


1

আমি এক কোর্সে কলেজে ভেরিলোগ শিখেছি। এই কোর্সের চূড়ান্ত পরিণতি ছিল 2-মুখের সুপারস্ক্যালার এমআইপিএস প্রসেসরের বাস্তবায়ন (ক্লাসের 30% এটি সম্পূর্ণরূপে কাজ করে; আমি অন্যান্য 70% তেও ছিলাম)। আমি মনে করি শিল্পের জিনিসগুলি ভেরিলোগ থেকে এবং ভিএইচডিএলের দিকে ধীরে ধীরে সরে যাচ্ছে। বলা হচ্ছে, উভয় ভাষার জন্য প্রচুর অনলাইন টিউটোরিয়াল রয়েছে। এখানে ভিএইচডিএল এবং ভেরিলোগের একটি

আপনি সম্ভবত মডেলসিম ব্যবহার করতে চাইছেন এবং আপনি সম্ভবত ছাত্র সংস্করণটি ব্যবহার করতে পারেন (আমার মনে হয় এটি নিখরচায়; সম্ভবত সীমাবদ্ধতার সাথে)) ঘটনাচক্রে তারা পাঠ্যপুস্তক হিসাবে ভিএইচডিএল দ্বিতীয় সংস্করণ ব্যবহার করে ডিজিটাল সিস্টেম ডিজাইন ব্যবহার করার পরামর্শ দেয় recommend


1

এখানে আপনার জন্য উপযুক্ত হতে পারে একটি সস্তা এফপিজিএ বিকাশ কিট। তবে ওপেন সোর্স সরঞ্জামগুলির সাথে কাজ করে এমন স্তর সম্পর্কে আমি নিশ্চিত নই। বিক্রেতার সরঞ্জামকিট চেইনগুলি বিনামূল্যে ডাউনলোডের জন্য উপলব্ধ। আমি শুনেছি যে দাম বিন্দুতে এই কিটটি পাওয়া যায়, এটি যাওয়া এবং এটি মূল্যবান।

আমাদের সাইট ব্যবহার করে, আপনি স্বীকার করেছেন যে আপনি আমাদের কুকি নীতি এবং গোপনীয়তা নীতিটি পড়েছেন এবং বুঝতে পেরেছেন ।
Licensed under cc by-sa 3.0 with attribution required.